Re: [sv-bc] FW: interpretation of priority if-else or case statement

From: <Shalom.Bresticker_at_.....>
Date: Wed Mar 30 2005 - 22:50:24 PST
Two points:

1. There is a difference between 'priority' and 'full_case'. 'priority'
is intended to assert that all possible cases have been described 
('exhaustive', as Nikhil said) and therefore issues a message if another
case occurs.

'full_case' does not make that assertion. 'full_case' simply says to the
synthesis tool that other cases are 'don't cares'. That does not mean
that they cannot or should not occur.

There are many situations in which only a subset of possible cases are
'care' cases. In those situations, I could use 'full_case', but 'priority'
would not be appropriate.


2. Regarding coding styles in general:

There are often maybe different ways to code the same thing which are
equally good. There are individual preferences among coders. Many coders
like to write code which is more verbose, but is clearer for them.

Example: 

if (a) 
  out = 1 ;
else
  out = 0 ;

vs.

out = a ;

We cannot and should not relate to alternate coding styles as Wrong,
though we can point out the advantages we see in the coding style we like.

We need to be very careful about assuming that We Know Better when the
issue is subjective or when there are both advantages and disadvantages.

Shalom

-- 
Shalom.Bresticker @freescale.com                     Tel: +972 9  9522268
Freescale Semiconductor Israel, Ltd.                 Fax: +972 9  9522890
POB 2208, Herzlia 46120, ISRAEL                     Cell: +972 50 5441478
  
[ ]Freescale Internal Use Only      [ ]Freescale Confidential Proprietary
Received on Wed Mar 30 22:50:49 2005

This archive was generated by hypermail 2.1.8 : Wed Mar 30 2005 - 22:51:05 PST