Re: [sv-bc] Ambiguity with packed struct literals

From: Paul Graham <pgraham@cadence.com>
Date: Mon Dec 06 2004 - 11:08:08 PST

> I think these issues have been resolved by issue 254. The approved
> proposal is in the "Attached Files" field of
>
> http://www.eda.org/svdb/bug_view_page.php?bug_id=0000254

Thanks for the update. I have not been keeping with all the
amendments to the LRM.

Paul
Received on Mon Dec 6 11:08:16 2004

This archive was generated by hypermail 2.1.8 : Mon Dec 06 2004 - 11:08:22 PST