Re: [sv-bc] Ambiguity with packed struct literals

From: Brad Pierce <Brad.Pierce@synopsys.com>
Date: Mon Dec 06 2004 - 10:47:58 PST

Paul,

I think these issues have been resolved by issue 254. The approved
proposal is in the "Attached Files" field of

     http://www.eda.org/svdb/bug_view_page.php?bug_id=0000254

Here are some examples from that proposal --

    typedef struct packed {shortint x, y;} my_int;

    int i = my_int'{8'hFA,8'hCE}; // i is assigned 32'h00FA00CE
    my_int j = '{8'hFA,8'hCE}; // {j.x,j.y} is assigned 32'h00FA00CE
    my_int k = {8'hFA,8'hCE}; // {k.x,k.y} is assigned 32'h0000FACE

-- Brad
Received on Mon Dec 6 10:46:25 2004

This archive was generated by hypermail 2.1.8 : Mon Dec 06 2004 - 10:46:30 PST