Re: [sv-bc] Proposal to make it easier to use packages with port declarations

From: Steven Sharp <sharp@cadence.com>
Date: Wed Dec 01 2004 - 18:08:56 PST

>This is similar to the problem we had with parameters in ANSI declarations for
>1364-2001. How was it fixed there?

As I recall, the first version I saw allowed parameter declarations mixed in
with the ANSI-C style port declarations in the port list. I proposed moving
the parameters into a separate #() list, to match the syntax in the
instantiation, where parameter overrides appear in a separate #() list.
This has still left some concerns about localparams. You might want to
use localparams in the ANSI-C style port list, which would require them
to be declared before the port list, but localparams don't really belong
in the #() list because they can't be overridden with the #() values in
the instantiation.

There were reasons why Verilog originally declared the ports inside the
module, and ANSI-C style port declarations keep hitting those reasons.

Steven Sharp
sharp@cadence.com
Received on Wed Dec 1 18:09:02 2004

This archive was generated by hypermail 2.1.8 : Wed Dec 01 2004 - 18:09:10 PST