Re: [sv-bc] Proposal to make it easier to use packages with port declarations

From: Adam Krolnik <krolnik@lsil.com>
Date: Wed Dec 01 2004 - 13:40:36 PST

Hi Stuart;

Doesn't this affect modules and all other top level components (interface, program
blocks, etc.) ?

This is similar to the problem we had with parameters in ANSI declarations for
1364-2001. How was it fixed there?

Does this not affect user defined type usage as well?

import Mystuff::*;

module newmod(
   mytype1 a,
   mytype2 b,
   ...
   );

Does this have to be written as follows ?

import Mystuff::*;

module newmod(
   $unit::mytype1 a,
   $unit::mytype2 b,
   ...
   );

    Adam Krolnik
    Verification Mgr.
    LSI Logic Corp.
    Plano TX. 75074
    Co-author "Assertion-Based Design"
Received on Wed Dec 1 13:40:41 2004

This archive was generated by hypermail 2.1.8 : Wed Dec 01 2004 - 13:40:50 PST