Re: [sv-bc] SV-BC 291 is uploaded and ready

From: Brad Pierce <Brad.Pierce@synopsys.com>
Date: Fri Nov 12 2004 - 10:05:35 PST

Surrendra,

The changes in 291 are textual clarification that reflect the
substantive changes in April for approved erratum 111.

   http://www.eda.org/sv-bc/hm/1672.html
   http://www.eda.org/sv-bc/hm/1682.html
   http://www.eda.org/sv-bc/hm/att-1714/cast.pdf

I think unpacked array assignments were required to have type equivalence
instead of just assignment compatibility of their elements so that
unpacked arrays could be copied as if (roughly speaking) they were
bit vectors. In particular, I think it was considered desirable
for bit-stream casting to give the same result as copying, when
copying is legal. Also, consider the following example --

  parameter P = 12;
  typedef int T0[P];
  typedef shortint T1[2*P];
  typedef shortint T2[P];

  module m(output T0 out1, out2, input T1 in1, input T2 in2);
    assign out1 = T0'(in1);
    for (genvar i = 0; i < P; i++) begin
      assign out2[i] = in2[i];
    end
  endmodule:m

-- Brad
Received on Fri Nov 12 10:05:02 2004

This archive was generated by hypermail 2.1.8 : Fri Nov 12 2004 - 10:06:25 PST