RE: [sv-bc] DataTypes: section 5, revision 2

From: Brad Pierce <Brad.Pierce@synopsys.com>
Date: Thu Nov 11 2004 - 12:23:58 PST

In the new section 5.8.1, Matching types, approved for erratum 254 --

CHANGE

3) An anonymous enum, struct, or union type matches itself among
         variables
    declared within the same declaration statement and no other types.

TO

3) An anonymous enum, struct, or union type matches itself among
         data objects
    declared within the same declaration statement and no other
         data
    types.

CHANGE

4) A typedef for an enum, struct, union, or class matches itself and
    the type of
         variables
    declared using that type within the scope of the type identifier.

TO

4) A typedef for an enum, struct, union, or class matches itself
    and the type of data objects declared using that
          data
    type within the scope of the
          data
    type identifier.

-----Original Message-----
From: owner-sv-bc@eda.org [mailto:owner-sv-bc@eda.org]On Behalf Of Brad
Pierce
Sent: Thursday, November 11, 2004 8:42 AM
To: Kathy McKinley; btf-dtype@boyd.com; sv-bc@eda.org
Subject: RE: [sv-bc] DataTypes: section 5, revision 2

The section number of 5.8.1 was changed to 5.8.2 by the change
approved for erratum 254.

The final proposal would need to update the language for the
*new* 5.8.1 about type matching, too.

-- Brad

----------------------------------------------------------------------------
-
SECTION 5.8.1 Equivalent types

CHANGE:

3) An anonymous enum, struct, or union type is equivalent to itself among
   variables declared within the same declaration statement and no other
   types.

TO:

3) An anonymous enum, struct, or union type is equivalent to itself among
   data objects declared within the same declaration statement and no other
   ^^^^^^^^^^^^
   data types.
   ^^^^

CHANGE:

4) A typedef for an enum, unpacked struct, or unpacked union, or a class
   is equivalent to itself and variables declared using that type within
   the scope of the type identifier.

TO:

4) A typedef for an enum, unpacked struct, or unpacked union, or a class
   is equivalent to itself and to data objects that are declared using
                                  ^^^^^^^^^^^^
   that data type within the scope of the data type identifier.
        ^^^^ ^^^^
Received on Thu Nov 11 12:24:06 2004

This archive was generated by hypermail 2.1.8 : Thu Nov 11 2004 - 12:24:14 PST