Re: [sv-bc] Clarify -- questions about enumeration types

From: Steven Sharp <sharp@cadence.com>
Date: Wed Jun 23 2004 - 16:55:40 PDT

>I don't know which kind of vhdl exception you are talking about.
>Importing only the enumeration type in VHDL does not import automatically
>the enumeration constants.

Perhaps I misunderstood what Dave was saying.

Steven Sharp
sharp@cadence.com
Received on Wed Jun 23 16:55:48 2004

This archive was generated by hypermail 2.1.8 : Wed Jun 23 2004 - 16:55:54 PDT