Re: [sv-bc] Clarify -- questions about enumeration types

From: Steven Sharp <sharp@cadence.com>
Date: Tue Jun 22 2004 - 16:49:14 PDT

Dave,

I think your answer resolved my question about structs nicely. Their field
names don't need to be imported into the local scope when a struct type or
variable gets imported, because a reference to them is regarded like a
hierarchical reference into the subscope "inside" the struct. I should have
realized that myself.

So it appears that importing a name only imports that name itself, and
that this does not cause a problem for structs.

However, this still leaves the issue with enum literals. One would expect
that importing an enum type would provide access to the enum literals also.
It would be very inconvenient to have to import all of the literal names
individually. From what you have said, VHDL makes a special exception for
these.

Steven Sharp
sharp@cadence.com
Received on Tue Jun 22 16:49:18 2004

This archive was generated by hypermail 2.1.8 : Tue Jun 22 2004 - 16:50:37 PDT