Re: [sv-bc] defparms to package parameters

From: Dave Rich <David.Rich@synopsys.com>
Date: Sun May 16 2004 - 18:47:06 PDT

Mark,

Section 21.2

"Local parameters can also be declared in a package or in a compilation
unit scope. In these contexts, the parameter keyword can
be used as a synonym for the localparam keyword."

localparam's may not be defparam'ed

Dave

Mark Hartoog wrote:

>Is this legal:
>
>package A;
>parameter P = 0;
>endpackage
>
>module m();
>import A::P;
>endmodule
>
>defparam m.P = 1;
>
>Does this change the value of the parameter 'P' in the package?
>
>If this is legal, it would seem to me this opens the door to all
>kinds of difficult to detect loops in elaboration.
>
>Mark Hartoog
>700 E. Middlefield Road
>Mountain View, CA 94043
>650 584-5404
>markh@synopsys.com
>
>
>
>

-- 
--
David.Rich@Synopsys.com
Technical Marketing Consultant and/or
Principal Product Engineer
http://www.SystemVerilog.org
tele:  650-584-4026
cell:  510-589-2625
Received on Sun May 16 18:47:26 2004

This archive was generated by hypermail 2.1.8 : Sun May 16 2004 - 18:47:32 PDT