[sv-bc] defparms to package parameters

From: Mark Hartoog <Mark.Hartoog@synopsys.com>
Date: Sun May 16 2004 - 16:07:52 PDT

Is this legal:

package A;
parameter P = 0;
endpackage

module m();
import A::P;
endmodule

defparam m.P = 1;

Does this change the value of the parameter 'P' in the package?

If this is legal, it would seem to me this opens the door to all
kinds of difficult to detect loops in elaboration.

Mark Hartoog
700 E. Middlefield Road
Mountain View, CA 94043
650 584-5404
markh@synopsys.com
Received on Sun May 16 16:08:02 2004

This archive was generated by hypermail 2.1.8 : Sun May 16 2004 - 16:08:19 PDT