Re: [sv-bc] Binding to a parameterized module or interface

From: Greg Jaxon <Greg.Jaxon@synopsys.com>
Date: Tue Jul 22 2014 - 11:46:47 PDT
On 7/22/2014 1:15 PM, Tipp, Brandon P wrote:

It should be this:

bind_directive ::=
    bind bind_target_scope [ parameter_value_assignment ] . . .

... and yet that won't be adequate, either.
In the case where the target scope takes interface ports (whose instances are also parametrized...)
only the actual port list of its instantiation will supply the full target_scope specialization you'd want.

I'm afraid the "target_scope" is just what it claims to be: a lexical scope, not a fully specialized scope.

Which is not to say that your syntax is meaningless - it could specify overriding all target scopes of the given
name which are so-specialized. But equality of parameter_value_assignments is not standardized and
in practice, gives rise to substantial confusion and divergence in product implementations.



--
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean. Received on Tue Jul 22 11:47:11 2014

This archive was generated by hypermail 2.1.8 : Tue Jul 22 2014 - 11:47:17 PDT