[sv-bc] Binding to a parameterized module or interface

From: Tipp, Brandon P <brandon.p.tipp@intel.com>
Date: Tue Jul 22 2014 - 11:15:28 PDT
This is related to Daniel's e-mail here http://www.eda.org/sv-bc/hm/11570.html.  I am trying to bind a parameterized module to a parameterized module, however it confuses the simulator and it won't build properly.  The spec should allow me to bind to a specific specialization of a paramterized module, but the BNF for bind doesn't allow that.  Instead of this:

bind_directive ::=
    bind bind_target_scope [: bind_target_instance_list] bind_instantiation ;
  | bind bind_target_instance bind_instantiation ;
bind_target_scope ::=
    module_identifier
  | interface_identifier

It should be this:

bind_directive ::=
    bind bind_target_scope [ parameter_value_assignment ] [: bind_target_instance_list] bind_instantiation ;
  | bind bind_target_instance bind_instantiation ;
bind_target_scope ::=
    module_identifier
  | interface_identifier

Also, if it is legal to bind to all specializations of a parameterized module, the spec needs to clarify that binding to a parameterized module/interface without specifying parameter port assignments should apply to all specializations of the module/interface, not only the default specialization.

-Brandon

-- 
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean.
Received on Tue Jul 22 11:16:12 2014

This archive was generated by hypermail 2.1.8 : Tue Jul 22 2014 - 11:16:30 PDT