RE: [sv-bc] Interconnect?

From: Bresticker, Shalom <shalom.bresticker@intel.com>
Date: Tue Aug 06 2013 - 23:12:26 PDT
We discussed improving the port rules by, among other things, adding references to interfaces, and I started work on it, but dropped it as there did not seem to be sufficient interest in the committee. Maybe next time...

Shalom

From: owner-sv-bc@eda.org [mailto:owner-sv-bc@eda.org] On Behalf Of Greg Jaxon
Sent: Wednesday, August 07, 2013 00:31
To: Brad Pierce
Cc: SV_BC List
Subject: Re: [sv-bc] Interconnect?

Thanks for the road map document!
My recollection of the ANSI style port rules (pages 667-9) included various special cases for interface, the new exceptions for interconnect tricked my eyes.
~ never mind ~ :~)

-g-

On 8/5/2013 6:49 PM, "Brad Pierce" wrote:

Hi Greg,



The 'interconnect' keyword is new in SV12, for generic (typeless) nets and ports, and is used for discrete real modeling. In digital synthesis, we just treat it like 'wire'. For context, see attached. There are also user-defined resolution functions with the new 'nettype' keyword which is not yet supported in digital synthesis.



-- Brad




--
This message has been scanned for viruses and
dangerous content by MailScanner<http://www.mailscanner.info/>, and is
believed to be clean.
---------------------------------------------------------------------
Intel Israel (74) Limited

This e-mail and any attachments may contain confidential material for
the sole use of the intended recipient(s). Any review or distribution
by others is strictly prohibited. If you are not the intended
recipient, please contact the sender and delete all copies.

-- 
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean.
Received on Tue Aug 6 23:12:46 2013

This archive was generated by hypermail 2.1.8 : Tue Aug 06 2013 - 23:12:54 PDT