RE: [sv-bc] Creating the extended VCD file. Error in Figure 21-2

From: Bresticker, Shalom <shalom.bresticker@intel.com>
Date: Wed Jan 23 2013 - 02:07:02 PST
You are correct, thanks.

Shalom

From: owner-sv-bc@eda.org [mailto:owner-sv-bc@eda.org] On Behalf Of Radoslaw Nawrot
Sent: Wednesday, January 23, 2013 07:50
To: sv-bc@eda.org
Subject: [sv-bc] Creating the extended VCD file. Error in Figure 21-2

Hello,
I found small error in LRM:

Figure 21-2-Creating the extended VCD file:

initial

$dumpports("dump2.dump");

according to standard:

- If the first argument is null, a comma shall be used before specifying the second argument in the

argument list.



I think it should be :



initial

$dumpports(,"dump2.dump");
Am I right?

Regards,
Radek


--
This message has been scanned for viruses and
dangerous content by MailScanner<http://www.mailscanner.info/>, and is
believed to be clean.
---------------------------------------------------------------------
Intel Israel (74) Limited

This e-mail and any attachments may contain confidential material for
the sole use of the intended recipient(s). Any review or distribution
by others is strictly prohibited. If you are not the intended
recipient, please contact the sender and delete all copies.

-- 
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean.
Received on Wed Jan 23 02:07:36 2013

This archive was generated by hypermail 2.1.8 : Wed Jan 23 2013 - 02:07:51 PST