[sv-bc] Creating the extended VCD file. Error in Figure 21-2

From: Radoslaw Nawrot <Radoslaw.Nawrot@aldec.com.pl>
Date: Tue Jan 22 2013 - 21:50:21 PST
Hello,
I found small error in LRM:
Figure 21-2-Creating the extended VCD file:

initial

$dumpports("dump2.dump");

according to standard:

- If the first argument is null, a comma shall be used before specifying the
second argument in the

argument list.

 

I think it should be :

 

initial

$dumpports(,"dump2.dump");

Am I right?
 
Regards,
Radek
 

-- 
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean.
Received on Tue Jan 22 21:51:08 2013

This archive was generated by hypermail 2.1.8 : Tue Jan 22 2013 - 21:51:24 PST