[sv-bc] modport expression

From: Daniel Mlynek <danielm@aldec.com.pl>
Date: Wed Nov 21 2012 - 03:43:39 PST
LRM says:
/"A modport expression allows elements of arrays and structures, 
concatenations of elements, and assignment pattern expressions of 
elements declared in an interface to be includedin a modport list. This 
modport expression is explicitly named with a port identifier, visible 
only through the modport connection"/

On the other handLRM explicitly do not forbid other kinds of expression 
and  in BNF you can find:
modport_simple_port ::=
    port_identifier
    | .port_identifier ([ *expression *] )

My question is what is the LRM intention  - what should be allowed in 
modport expression:
   - all kinds of expression on inputs and LHS expresion for other direction
   - expression listed in 1st quotation above - item select, member 
select, concatenation and APE for all directions (input, output, ref , 
inout)

For input modport having expression not allowed on LHS of assignment can 
somehow work:

    interface  iface;
       int i,j;
       modport mpi (
         input  .p1(i+j),
       );
    endinterface





DANiel

-- 
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean.
Received on Wed Nov 21 03:44:14 2012

This archive was generated by hypermail 2.1.8 : Wed Nov 21 2012 - 03:44:35 PST