Re: [sv-bc] mantis item 3608

From: Greg Jaxon <Greg.Jaxon@synopsys.com>
Date: Tue Jun 14 2011 - 14:14:26 PDT

On 6/14/2011 10:26 AM, Francoise Martinolle wrote:
> In Verilog no forward references where allowed for any data object.
> With the introduction of type parameters, resolving a name or a dotted name defers the resolution until
> elaboration. At that point, the symbol tables are filled in and a simple look up mechanism may
> find declarations after use.

Type parameters did not change any rules regarding look-ups in the name spaces where the root of the dotted name is resolved.
Neither your example 1 nor 2 is legal because the name w has no referent at the point of its first use.

Names in these positions are able to cause package wildcard import, which happens long before elaboration even begins.

Greg

-- 
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean.
Received on Tue Jun 14 14:15:05 2011

This archive was generated by hypermail 2.1.8 : Tue Jun 14 2011 - 14:15:12 PDT