FW: [sv-bc] mantis item 3608

From: Brad Pierce <Brad.Pierce@synopsys.com>
Date: Mon Jun 20 2011 - 10:44:19 PDT

-----Original Message-----
From: owner-sv-bc@eda.org [mailto:owner-sv-bc@eda.org] On Behalf Of Brad Pierce
Sent: Monday, June 13, 2011 8:24 AM
To: Francoise Martinolle
Cc: SV-BC
Subject: Re: [sv-bc] mantis item 3608

Can we say instead 'data object'? According to 6.2, "A data object is
a named entity that
has a data value and a data type associated with it, such as a
parameter, a variable, or a net."

-- Brad

On Mon, Jun 13, 2011 at 6:34 AM, Francoise Martinolle <fm@cadence.com> wrote:
> I created a mantis item and put a proposal for 3608.
>
> Can this mantis item be added to the next meeting agenda.
> Francoise
>        '
> --
> This message has been scanned for viruses and
> dangerous content by MailScanner, and is
> believed to be clean.

-- 
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean.
-- 
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean.
Received on Mon Jun 20 10:44:53 2011

This archive was generated by hypermail 2.1.8 : Mon Jun 20 2011 - 10:44:57 PDT