Re: [sv-bc] ended / matched methods


Subject: Re: [sv-bc] ended / matched methods
From: Adam Krolnik (krolnik@lsil.com)
Date: Mon Oct 06 2003 - 06:30:52 PDT


Hi Arturo;

> the ended and matched methods are restricted to assertions,

However, you are proposing "triggered" for use in any expression context.
I would like to see a merge of the methods ended and triggered if they
end up with similar definitions.

I would also like to see one other method that returns the number of executing
threads for the purposes of restricting sequences to a single (or limited)
executing threads.

     Adam Krolnik
     Verification Mgr.
     LSI Logic Corp.
     Plano TX. 75074
     Co-author "Assertion Based Design"



This archive was generated by hypermail 2b28 : Mon Oct 06 2003 - 06:37:48 PDT