RE: [sv-bc] Implicit net declaration for explicit port

From: Bresticker, Shalom <shalom.bresticker@intel.com>
Date: Wed Jan 26 2011 - 07:02:18 PST

I don't think the LRM says that x needs to be explicitly declared.

Shalom

> -----Original Message-----
> From: owner-sv-bc@eda.org [mailto:owner-sv-bc@eda.org] On Behalf Of
> Surya Pratik Saha
> Sent: Wednesday, January 26, 2011 5:14 AM
> To: sv-bc@eda.org
> Cc: Adhip Das
> Subject: [sv-bc] Implicit net declaration for explicit port
>
> Hi,
> I got a design like:
>
> module top(input .p(x));
> assign x = 1;
> endmodule
>
> As per LRM, 'x' needs to be explicitly declared. But by the continuous
> assignment, is 'x' allowed to be declared implicitly for this case?
> Some
> standard tools pass the case. Please let me know your view.
>
> --
> Regards
> Surya
>
>
>
>
> --
> This message has been scanned for viruses and
> dangerous content by MailScanner, and is
> believed to be clean.

---------------------------------------------------------------------
Intel Israel (74) Limited

This e-mail and any attachments may contain confidential material for
the sole use of the intended recipient(s). Any review or distribution
by others is strictly prohibited. If you are not the intended
recipient, please contact the sender and delete all copies.

-- 
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean.
Received on Wed Jan 26 07:03:12 2011

This archive was generated by hypermail 2.1.8 : Wed Jan 26 2011 - 07:03:21 PST