[sv-bc] Implicit net declaration for explicit port

From: Surya Pratik Saha <spsaha@cal.interrasystems.com>
Date: Tue Jan 25 2011 - 19:13:45 PST

Hi,
I got a design like:

module top(input .p(x));
     assign x = 1;
endmodule

As per LRM, 'x' needs to be explicitly declared. But by the continuous
assignment, is 'x' allowed to be declared implicitly for this case? Some
standard tools pass the case. Please let me know your view.

-- 
Regards
Surya
-- 
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean.
Received on Tue Jan 25 19:14:21 2011

This archive was generated by hypermail 2.1.8 : Tue Jan 25 2011 - 19:14:42 PST