RE: [sv-bc] RE: Proposal for Mantis 696 - Parameterized Functions/Tasks

From: Bresticker, Shalom <shalom.bresticker@intel.com>
Date: Sat Oct 16 2010 - 23:34:52 PDT

I'm ok with either Tom's or Neil's version.

Shalom

> -----Original Message-----
> From: Neil Korpusik [mailto:neil.korpusik@oracle.com]
> Sent: Saturday, October 16, 2010 3:03 AM
> To: Alsop, Thomas R
> Cc: Bresticker, Shalom; Brad Pierce; sv-bc@eda.org
> Subject: Re: [sv-bc] RE: Proposal for Mantis 696 - Parameterized
> Functions/Tasks
>
> It still seems a bit obtuse to me. I would like to suggest the
> following
> alternative.
>
> From:
> The class contains two static subroutines, ENCODER_f and DECODER_f.
> Each
> subroutine is parameterized by the class using the parameters
> DECODE_WIDTH
> and ENCODE_WIDTH, of which ENCODE_WIDTH has a default setting of
> the system
> task $clog2 (see 20.8.2 ? Integer math functions) of the required
> DECODE_WIDTH parameter. These parameters are used within each
> subroutine to
> define the size of the encoder and decoder.
>
> To:
> Class C contains two static subroutines, ENCODER_f and DECODER_f.
> Each
> subroutine is parameterized by reusing the class parameters
> DECODE_WIDTH
> and ENCODE_WIDTH. The default value of parameter ENCODE_WIDTH is
> conveniently determined by using the system task $clog2 (see
> 20.8.2).
> These parameters are used within the subroutines to specify the
> size of the
> encoder and the size of the decoder.
>
>
> Neil
>
>
>
> On 10/15/10 09:33, Alsop, Thomas R wrote:
> > How does this sound?
> >
> >
> >
> > The class contains two static subroutines, ENCODER_f and DECODER_f.
> > Each subroutine is parameterized by the class using the parameters
> > DECODE_WIDTH and ENCODE_WIDTH, of which ENCODE_WIDTH has a default
> > setting of the system task $clog2 (see 20.8.2 - Integer math
> functions)
> > of the required DECODE_WIDTH parameter. These parameters are used
> > within each subroutine to define the size of the encoder and decoder
> >
> >
> >
> > -Tom
> >
> >
> >
> > *From:* Bresticker, Shalom
> > *Sent:* Wednesday, October 13, 2010 3:34 AM
> > *To:* Alsop, Thomas R; Brad Pierce; sv-bc@eda.org
> > *Subject:* RE: Proposal for Mantis 696 - Parameterized
> Functions/Tasks
> >
> >
> >
> > Hi, Tom.
> >
> >
> >
> > The following sentence is no longer correct:
> >
> >
> >
> > "Each subroutine is parameterized by the class using the parameters
> > DECODE_WIDTH and ENCODE_WIDTH, both of which have default settings."
> >
> >
> >
> > DECODE_WIDTH no longer has a default.
> >
> >
> >
> > Regards,
> >
> > Shalom
---------------------------------------------------------------------
Intel Israel (74) Limited

This e-mail and any attachments may contain confidential material for
the sole use of the intended recipient(s). Any review or distribution
by others is strictly prohibited. If you are not the intended
recipient, please contact the sender and delete all copies.

-- 
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean.
Received on Sat Oct 16 23:35:14 2010

This archive was generated by hypermail 2.1.8 : Sat Oct 16 2010 - 23:37:50 PDT