[sv-bc] non-ANSI port declarations

From: Bresticker, Shalom <shalom.bresticker@intel.com>
Date: Sat Oct 16 2010 - 22:30:02 PDT

Hi,

How does the following work according to the SV BNF?

module test(o);
output o;
reg o;
endmodule

The output declaration BNF is

output_declaration ::=
  output net_port_type list_of_port_identifiers
| output variable_port_type list_of_variable_port_identifiers

net_port_type ::=
  [ net_type ] data_type_or_implicit

variable_port_type ::= var_data_type

var_data_type ::= data_type | var data_type_or_implicit

So the omission of a data type is allowed for net ports, but not for variable ports.

So how does this work?

1364 had a different BNF for these port declarations.

The question is only in 1800.

Thanks,
Shalom

Shalom Bresticker
Intel LAD DA, Jerusalem, Israel
+972 2 589 6582 (office)
+972 54 721 1033 (cell)
http://www.linkedin.com/in/shalombresticker

---------------------------------------------------------------------
Intel Israel (74) Limited

This e-mail and any attachments may contain confidential material for
the sole use of the intended recipient(s). Any review or distribution
by others is strictly prohibited. If you are not the intended
recipient, please contact the sender and delete all copies.

-- 
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean.
Received on Sat Oct 16 22:30:27 2010

This archive was generated by hypermail 2.1.8 : Sat Oct 16 2010 - 22:32:59 PDT