[sv-bc] RE: Agenda: May 10 SV-BC Meeting

From: Bresticker, Shalom <shalom.bresticker@intel.com>
Date: Sat May 08 2010 - 23:56:02 PDT

The DVCON 2009 interface paper was called, "Is There a Future for SystemVerilog Interfaces?"

Abstract:
The SystemVerilog interface is intended to be a powerful modeling construct for describing hardware interconnect in a very general manner that is applicable to both testbench and synthesizable RTL design applications. In this paper we argue that the SystemVerilog interface construct is inadequately specified, insufficiently powerful for real applications, and impossible to implement consistently in its current form. We then review the application areas that interfaces were intended to address, and propose some possible solutions for these shortcomings.

It can be requested at
http://www.mentor.com/products/fv/techpubs/is-there-a-future-for-systemverilog-interfaces--49113 or
http://www.techonline.com/learning/techpaper/217701248

If Mentor has no objections, I can post it directly to the reflector.

Shalom

> Outstanding
  04/26/10 Gord or anyone else provide URL or copy of interface paper if
           publicly available.
---------------------------------------------------------------------
Intel Israel (74) Limited

This e-mail and any attachments may contain confidential material for
the sole use of the intended recipient(s). Any review or distribution
by others is strictly prohibited. If you are not the intended
recipient, please contact the sender and delete all copies.

-- 
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean.
Received on Sat May 8 23:57:20 2010

This archive was generated by hypermail 2.1.8 : Sat May 08 2010 - 23:59:38 PDT