Re: [sv-bc] Re: Separate Compilation


Subject: Re: [sv-bc] Re: Separate Compilation
From: Karen Pieper (Karen.Pieper@synopsys.com)
Date: Thu May 08 2003 - 10:29:25 PDT


I've been told two or three times that

typedef int x;

x a;

always begin {
typedef int x;

x b;
}

does not make a and b the same type. That would imply that seeing typdef
of the same type several
times in $root results in different types?

K

At 09:43 AM 5/8/03 -0700, Kevin Cameron x3251 wrote:
>>http://www.eda.org/sv-bc/hm/0587.html
>...
>>The original purpose of $root was to share symbols, especially types.
>>'include wouldn't work because you couldn't put a type declaration on a
>>port. Two types declared in two separate places aren't the same even if
>>they are identical.
>
>Can someone expand on this for me, why wouldn't identical types be the
>same?
>
>Kev.
>
>--
>National Semiconductor, Tel: (408) 721 3251
>2900 Semiconductor Drive, Mail Stop D3-500, Santa Clara, CA 95052-8090
>
>



This archive was generated by hypermail 2b28 : Thu May 08 2003 - 10:31:17 PDT