[sv-bc] Tasks with null statement (;) as body


Subject: [sv-bc] Tasks with null statement (;) as body
From: Brad Pierce (Brad.Pierce@synopsys.com)
Date: Thu Mar 13 2003 - 14:23:10 PST


An issue to be deferred until 3.2, is that SystemVerilog is now out
of sync with the 1364 ETF/VSG regarding task declarations --

       http://www.boyd.com/1364_btf/report/full_pr/65.html

If SystemVerilog is to be a superset of Verilog, then it must allow
the following --

      module m;
      task t;
      ;
      endtask
      endmodule

even though, unlike Verilog, SystemVerilog accepts the more natural

      module m;
      task t;
      endtask
      endmodule

and even though Verilog and SystemVerilog both allow

      module m;
      task t;
      begin end
      endtask
      endmodule

-- Brad



This archive was generated by hypermail 2b28 : Thu Mar 13 2003 - 14:24:18 PST