Re: [sv-bc] proposal to bring SV BNF in line with 2001 BNF.


Subject: Re: [sv-bc] proposal to bring SV BNF in line with 2001 BNF.
From: Shalom Bresticker (Shalom.Bresticker@motorola.com)
Date: Sun Feb 02 2003 - 01:22:23 PST


Dan,

I did not review this summary thoroughly, but I did note the following:

ETF #21 removes 'memory_identifier', not 'library_identifier'.

Shalom

"Jacobi, Dan" wrote:

> Hello All,
>
> Attached are all the adjustments needed to the System-Verilog BNF
> in order to align it with all the ETF issues that passed and are
> reflected in the IEEE 1364-2004/D1 BNF. (The IEEE Document I am
> referring to was dated 1/30/03).
>
> *** ETF 12 ***
> http://www.boyd.com/1364_btf/report/full_pr/12.html
>
> Under A.1.5
> REPLACE:
> parameter_override ::= 'defparam' list_of_param_assignments ';'
> WITH:
> parameter_override ::= 'defparam' list_of_defparam_assignments ';'
>
> Under A.2.3
> ADD:
> list_of_defparam_assignments ::= defparam_assignment { ','
> defparam_assignment }
>
> Under A.2.4
> ADD:
> defparam_assignment ::= hierarchical_parameter_identifier =
> constant_expression
>
> Under A.9.3
> ADD:
> hierarchical_parameter_identifier ::= hierarchical_identifier
>
> *** ETF 25 ***
> http://www.boyd.com/1364_btf/report/full_pr/25.html
> Under A.4.1
> REPLACE:
> named_port_connection ::= { attribute_instance } .port_identifier (
> [ expression ] )
> WITH:
> named_port_connection ::= { attribute_instance } '.' port_identifier
> '(' [ expression ] ')'
>
> * adding a space between the dot and the port_identifier syntactic category.
>
> *** ETF 25 ***
> http://www.boyd.com/1364_btf/report/full_pr/26.html
> Under A.7.4
> REPLACE:
> list_of_path_delay_expressions ::=
> t_path_delay_expression
> | trise_path_delay_expression ',' tfall_path_delay_expression
> | trise_path_delay_expression ',' tfall_path_delay_expression ','
> tz_path_delay_expression
> | t01_path_delay_expression ',' t10_path_delay_expression ','
> t0z_path_delay_expression ','
> tz1_path_delay_expression ',' t1z_path_delay_expression ','
> tz0_path_delay_expression
> | t01_path_delay_expression ',' t10_path_delay_expression ','
> t0z_path_delay_expression ','
> tz1_path_delay_expression ',' t1z_path_delay_expression ','
> tz0_path_delay_expression
> t0x_path_delay_expression ',' tx1_path_delay_expression ','
> t1x_path_delay_expression ','
> tx0_path_delay_expression ',' txz_path_delay_expression ','
> tzx_path_delay_expression
> WITH:
> list_of_path_delay_expressions ::=
> t_path_delay_expression
> | trise_path_delay_expression ',' tfall_path_delay_expression
> | trise_path_delay_expression ',' tfall_path_delay_expression ','
> tz_path_delay_expression
> | t01_path_delay_expression ',' t10_path_delay_expression ','
> t0z_path_delay_expression ','
> tz1_path_delay_expression ',' t1z_path_delay_expression ','
> tz0_path_delay_expression
> | t01_path_delay_expression ',' t10_path_delay_expression ','
> t0z_path_delay_expression ','
> tz1_path_delay_expression ',' t1z_path_delay_expression ','
> tz0_path_delay_expression ','
> t0x_path_delay_expression ',' tx1_path_delay_expression ','
> t1x_path_delay_expression ','
> tx0_path_delay_expression ',' txz_path_delay_expression ','
> tzx_path_delay_expression
>
> * The 12-expression list is missing a comma between
> expressions 6 and 7.
>
> *** ETF 30 ***
> http://www.boyd.com/1364_btf/report/full_pr/30.html
>
> Under A.7.5.3
> REPLACE:
> edge_control_specifier ::= edge [ edge_descriptor [ ,
> edge_descriptor ] ]
>
> WITH:
> edge_control_specifier ::= edge '[' edge_descriptor { ','
> edge_descriptor } ']'
>
> *** ETF 21 ***
> http://www.boyd.com/1364_btf/report/full_pr/21.html
>
> Under A.9.3
> REMOVE:
> library_identifier ::= identifier
>
> *** ETF 32 ***
> http://www.boyd.com/1364_btf/report/full_pr/32.html
> Under notes section (note 2)
> REPLACE:
> 2) A simple_identifier and arrayed_reference shall start with an
> alpha or underscore (_)character, shall have at least one
> character,and shall not have any spaces.
>
> WITH:
> 2) A simple_identifier shall start with an
> alpha or underscore (_)character, shall have at least one
> character,and shall not have any spaces.
>
> Dan Jacobi
> Intel
> Phone : (972)-4-8655855

--
Shalom Bresticker                           Shalom.Bresticker@motorola.com
Design & Reuse Methodology                             Tel: +972 9 9522268
Motorola Semiconductor Israel, Ltd.                    Fax: +972 9 9522890
POB 2208, Herzlia 46120, ISRAEL                       Cell: +972 50 441478



This archive was generated by hypermail 2b28 : Sun Feb 02 2003 - 01:23:41 PST