LRM do not precise if covergroups which became unreachable becasue all the references to them where lost should be cleared by GC or they should be preserved and raported in functional cover report before EOS. This should be defined somehow on ieee left it tool dependend? See example: module m; bit a; covergroup cg; coverpoint a; option.per_instance = 1; endgroup cg g ; initial begin g = new(); g.sample; a=1; g = new(); // overwriting - do we have to or one instance in functional cover raport g.sample; end endmodule DANiel -- This message has been scanned for viruses and dangerous content by MailScanner, and is believed to be clean.Received on Wed Sep 24 05:45:30 2008
This archive was generated by hypermail 2.1.8 : Wed Sep 24 2008 - 05:45:58 PDT