[sv-ec] No Meeting Monday June 2 2008, [meeting Canceled]

From: Mehdi Mohtashemi <Mehdi.Mohtashemi_at_.....>
Date: Thu May 29 2008 - 16:20:29 PDT
The scheduled meeting for sv-ec on Monday June 2 2008
is canceled since all mantis items for Draft 5 have been 
reviewed and there is no mantis item for review by sv-ec.

We will resume the regular bi-weekly meeting on Monday June 23 2008.
Meanwhile, I will send out email about upcoming Draft 6, and any
mantis item to be verified.
- Mehdi

NOTE: here is a list of mantis items checked in Draft5:
*   moved back to editor status
**  verified and closed

================================================================
---  SV-EC mantis items  in Draft 5 and previous drafts ----
*  1871  clarification needed for illegal/ignore transition bins  (David_Scott)
*  2243  issue with option.per_instance  (david_scott)
*  1594  conditional operator for class handles incorrect  (Francoise)
*  1371  Semantic of program block $exit  (DaveR)
*  1707  streaming operator should specify which direction slices are taken from (DaveR-Jonathan)
*   958  dynamic array size method   (Shalom) 
*  1702  queue syntax issues   (Jonathan)
*   520  example of queues assignment   (Francoise-Jonathan)
*  1787  LRM needs to discuss transition bins of length 1  (Doug)
*  1655  Coverage Calculation Corner Case Crumminess  (Doug)
*  1857  external method definitions and parameterized class types (Gord) 
*  2164  Use "base class" instead of "parent class" in 8.12 [Gord]  
*  1447  Contradictory stmts about unsized array dimensions (Mike B)
*  1927  clarification of default sequence transition bin in covergroup (David_Scott)
*  1851  all params declared inside class body are local (shalom)    
*  1789   Clarification of string behavior   (DaveR)

** 2141  Unclear if type parameters can be used with scope resoultion operator (mark_hartoog)
** 2234  mistake in 24.9 (DaveR)
** 1560  Queue delete() method for entire array   (DaveR)
** 2214  Interaction of imports, $unit and bind are unclear   (mark)
** 2142  Unclear if type parameters may be used to specify class base class (mark_hartoog) 
** 1928  clarification of coverpoint value resolution (18.5.6)  (David_Scott)
** 1897  clarify "union of all significant bins" and "overlapping bins" in coverage computation  (david scott)
** 2227  Clarification 2008-02-14 7.8.6: string compare() methods should specify return value(Ray_Ryan)
** 2149  Covergroups sample method with arguments [Arturo] 
** 1608  equality, inequality and conditional operator rules for class handles (Françoise)
** 1777  Clarification of 1800-2005 section 18.4.1 (Don) (Mehdi)
** 1459  Mailbox 'new' method should never return null (DaveR)
** 1500  Forward typedef of a class is only to declare instances of that type (Dave)
** 1384  bit stream cast and pack/unpack for protected./local members (DaveR,Neil)
** 1336  Rules for allowed statements in a function (DaveR)
** 2021 Relax excessively severe restriction on what can connect to a clocking inout  (Jonathan Bromley)
** 2211  typedefs are required for some type references (gordon)
** 2087  Semantic intent of qualified BNF terminals must be clarified (Gord)
** 2003  Old statement on foreach for wildcard indexed associative arrays (gordon)
** 1858  external method definitions and parameterized class types (Gord)
** 1609  import statements should not be allowed in class scopes  (Gordon)
** 2181  Ambiguity in implicit declaration of production variables in randsequence [Ray]
** 2229 Clarify summary description for "inactive" random varaibles. (Ray_Ryan)
** 2233 Allowed types for randc (Ray_Ryan)
**  888  foreach identifiers are too restrictive  (doug_warmke)
** 2215  LRM isn't clear enough on ways that a default specialization is constituted (Doug )
** 1623  alternate timeunit syntax   (shalom, heath)
** 1723  Size method for associative arrays  (DaveR)
** 1556  in-line static variable initialization - require keyword static?   (Dave) [in conjunction with sv-bc 2106 ]
** 2007  7.9.4: rules about int type index for associative arrays (Dave)
** 1580  Access to interface objects via virtual interface (Dave)
**  339  [This proposal was superseded by 0001702 and no longer relevant, check]  (steven)
** 1679  3.6 string casting statement unclear  (Shalom)
** 2025  The difference between string literals and array literals (shalom)
** 1980  make dynamic_array new consistent as new operator (Mehdi)
** 1612  Timeunits decls don't make sense in class decls (BNF-Mehdi)
** 2137  Some assertion contexts should be procedural (Mike Burns)
** 2113  Inconsistency in constraining assoc array size  (Mike Burns)
  

** 1545  section 17.13.1 draft3a (13.12.1 p1800) $urandom example error (Mehdi)  in Draft4 checked in Draft5
** 1279  Clarify Covergroup, Coverpoint, Cross declarations and visability  (Ray Ryan) in Draft3
** 1736  Example in 12.4.2 (13.5.2 in Draft 3a) has dynamic array packed.  (DaveR) in Draft4
** 1427  dynamic_array_new  in D4
** 1605  Clarification of mailbox/semaphore constructor in D4 (Mehdi)
** 1480  method_call_root BNF should use primary, not expression  (Mehdi)
**  594  15.8 special syntax for accessing interfaces through clocking block (Mehdi)
**  890  Clarifications needed in clocking blocks


-- 
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean.
Received on Thu May 29 16:21:34 2008

This archive was generated by hypermail 2.1.8 : Thu May 29 2008 - 16:22:20 PDT