RE: [sv-ec] draft 5 sv-ec mantis items for review

From: Warmke, Doug <doug_warmke_at_.....>
Date: Sun May 11 2008 - 20:01:51 PDT
Hi Mehdi,

Here are the results of reviewing the Manti with my name on them.
All are technically sound.  There are two very minor editorial
issues that could be resolved for 1787 and 1655.

888:  fine
2215: fine
1787: There is a stray, undeleted double-quote mark in the new text. 
1655: There are minor indentation problems in the list of cross x1 and cross x2 bins.

Thanks and regards,
Doug
 

> -----Original Message-----
> From: owner-sv-ec@server.eda.org [mailto:owner-sv-ec@server.eda.org] On Behalf Of Mehdi Mohtashemi
> Sent: Sunday, May 11, 2008 2:43 PM
> To: sv-ec@server.eda.org
> Subject: [sv-ec] draft 5 sv-ec mantis items for review
> 
> Here is a list of mantis items that have been incorporated
> in Draft 5. Since the review time is one week, please take
> a look before our meeting on Monday, we need to prepare to
> review these items in Draft 5 at the minimum.
> When updates are confirmed place the mantis issue in CLOSED state.
> If there are problems, note the issues and move the mantis to EDITOR state.
> Also, let me know if there if the list is missing any item you know of.
> - Mehdi
> 
> Note:
> I have marked the items that have been reviewed and are now in
> EDITOR state by  *.
> CLOSDE state by  **.
> 
> ================================================================
> ---  SV-EC mantis items  in Draft 5 ----
>    2243  issue with option.per_instance  (david_scott)
>    2229 Clarify summary description for "inactive" random varaibles. (Ray_Ryan)
>    2233 Allowed types for randc (Ray_Ryan)
>     888  foreach identifiers are too restrictive  (doug_warmke)
>    1787  LRM needs to discuss transition bins of length 1
>    1612  Timeunits decls don't make sense in class decls (BNF)
>    1858  external method definitions and parameterized class types (Gord)
>     520  example of queues assignment   (Francoise)
>    2003  Old statement on foreach for wildcard indexed associative arrays (gordon)
>    2181  Ambiguity in implicit declaration of production variables in randsequence [Ray]
>     958  dynamic array size method   (Shalom)
>    2227  Clarification 2008-02-14 7.8.6: string compare() methods should specify return value
>    2164  Use "base class" instead of "parent class" in 8.12 [Gord]
>    2149  Covergroups sample method with arguments [Arturo]
>    1702  queue syntax issues   (Jonathan)
>    2214  Interaction of imports, $unit and bind are unclear   (mark)
>    2215  LRM isn't clear enough on ways that a default specialization is constituted (Doug )
>    1857  external method definitions and parameterized class types (Gord)
>    2211  typedefs are required for some type references (gordon)
>    1384  bit stream cast and pack/unpack for protected./local members (DaveR,Neil)
>    2021 Relax excessively severe restriction on what can connect to a clocking inout  (Jonathan
> Bromley)
>    2141 Unclear if type parameters can be used with scope resoultion operator (mark_hartoog)
>    2142 Unclear if type parameters may be used to specify class base class (mark_hartoog)
>    1609  import statements should not be allowed in class scopes  (Gordon)
>    1336  Rules for allowed statements in a function (DaveR)
>    1560  Queue delete() method for entire array   (DaveR)
>    1594  conditional operator for class handles incorrect  (Francoise)
>    1608  equality, inequality and conditional operator rules for class handles (Françoise)
>    2087  Semantic intent of qualified BNF terminals must be clarified (Gord)
>    1871  clarification needed for illegal/ignore transition bins  (David_Scott)
>    1897  clarify "union of all significant bins" and "overlapping bins" in coverage computation
> (david scott)
>    1500  Forward typedef of a class is only to declare instances of that type (Dave)
>    1777  Clarification of 1800-2005 section 18.4.1 (Don)
>    1787  LRM needs to discuss transition bins of length 1  (Doug)
>    1655  Coverage Calculation Corner Case Crumminess  (Doug)
>    1707  streaming operator should specify which direction slices are taken from (DaveR)
>    1928  clarification of coverpoint value resolution (18.5.6)  (David_Scott)
>    1371  Semantic of program block $exit  (DaveR)
> *  1447  Contradictory stmts about unsized array dimensions (Mike B)
> *  1927  clarification of default sequence transition bin in covergroup (David_Scott)
> *  1851  all params declared inside class body are local (shalom)
> ** 2137  Some assertion contexts should be procedural (Mike Burns)
> ** 1623  alternate timeunit syntax   (shalom, heath)
> ** 1723  Size method for associative arrays  (DaveR)
> ** 1980  make dynamic_array new consistent as new operator (Mehdi)
> ** 1556  in-line static variable initialization - require keyword static?   (Dave) [in conjunction
> with sv-bc 2106 ]
> ** 2007  7.9.4: rules about int type index for associative arrays (Dave)
> ** 1679  3.6 string casting statement unclear  (Shalom)
> **  339  [This proposal was superseded by 0001702 and no longer relevant, check]  (steven)
> ** 2025  The difference between string literals and array literals (shalom)
> ** 1580  Access to interface objects via virtual interface (Dave)
> ** 2113  Inconsistency in constraining assoc array size  (Mike Burns)
> --------------------------------
>    Mantis:  [not yet in draft5]
>    2279   Followup questions related to Mantis item 1858 - bnf for randomize  (Neil)
>    2183   Only simple identifiers allowed in solve-before constraint   (Ray)
>    2242   issues with get_coverage(ref int, ref int)  (Dave Scott)
>    2302   Champions feedback for Mantis item 1447  (Mike B, Neil)
> 
>    -- sv-ec mantis items in Draft 4  --
>    1789
>    1723
>    1556
>    1580
>    1612
>    1655
>    1732
>    1777
>    1671
>    1427
>    1787
>    1680
>    1736
> 
> 
> 
> --
> This message has been scanned for viruses and
> dangerous content by MailScanner, and is
> believed to be clean.
> 


-- 
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean.
Received on Sun May 11 20:02:15 2008

This archive was generated by hypermail 2.1.8 : Sun May 11 2008 - 20:02:51 PDT