SV-BC Meeting Date: Monday July 23, 2007 Time: 09:00am-11:00am PDT Toll Free Dial In Number: (888)635-9997 International Access/Caller Paid Dial In Number: (763)315-6815 PARTICIPANT CODE: 53904 20213131010001020211 Day 39510406295588439514 00000000000001111000 Month 77665544433212200998 00000000000000000000 Year 77777777777776666666 aaaaaaaaaaaa---aaaaa Matt Maidment - Intel aaaaaaaaa-aaaaaaaaaa Brad Pierce - Synopsys aaaaaaaa-aaaaaaaaaaa Shalom Bresticker - Intel aaaa-aaa-aaaaaa-aaaa Cliff Cummings - Sunburst Design -aaa--a-aaaaaaaaaaa- Surrendra Dudani - Synopsys aaaaaaaaaaaaaaaaaaaa Mark Hartoog - Synopsys aaaa-a-a-aaaaa-a-aaa Francoise Martinolle - Cadence aa-a-aaaaa-aaaaaaaaa Karen Pieper - Synopsys aaaaaaaaaaaa-aaaaaaa Dave Rich - Mentor Graphics aaaaaaaaaaaaaaa-aaaa Steven Sharp - Cadence a-aaaa-aa-a-aaaaaaaa Gordon Vreugdenhil - Mentor Graphics a-aaaaaa-aa-a--aaaaa Stu Sutherland - Sutherland HDL aaaaa-aaaaa--------- Alex Gran - Mentor Graphics --aaaaaa-aa-a-aaaaa- Don Mills - LCDM Engineering aaaaa-aaaaaaaaa-aaaa Heath Chambers - Consultant/Trainer aaa----------------- Will Cummings - Synplicity -----------aa---aa-a Doug Warmke - Mentor Graphics -------------------a Rishiyur Nikhil - Bluespec -------------a-aaaaa Logie Ramachandran - Synopsys Agenda + Review IEEE patent policy http://standards.ieee.org/board/pat/pat-slideset.ppt Reviewed. + Review Minutes of Previous Meeting http://www.eda-stds.org/sv-bc/minutes/sv-bc_07_07_09.txt + Status of Draft 3 Review Reviewers, please provide brief update on progress Cliff moves to accept minutes. Heath seconds. No opposed. Abstain: Gord (not present at July 9 meeting) Motion passes. + Issues 1602 (http://www.eda.org/svdb/view.php?id=1602) No consensus on the reflector. Shalom captured summary of positions regarding outputs: http://www.eda.org/sv-bc/hm/6342.html and for inout ports: http://www.eda.org/sv-bc/hm/6311.html Consensus supporting output defaults & symmetric approach for inouts. 331 (http://www.eda.org/svdb/view.php?id=331) Dave to update based on feedback: unpacked union handling (print first member) and Shalom's mailing list post: http://www.eda.org/sv-bc/hm/5469.html 661 (http://www.eda.org/svdb/view.php?id=661) Dave moves to accept Shalom's proposal to resolve issue as duplicate of 698. Alex seconds. No opposed. No abstain. Motion passes. 916 (http://www.eda.org/svdb/view.php?id=916) General consensus to keep current language Defer to later meeting with Shalom in attendance. 1090 (http://www.eda.org/svdb/view.php?id=1090) Steven moves to accept proposal with friendly amendment: Replace "anywhere" with "anywhere in the source description" Brad seconds. No opposed. No abstain. Motion passes. AI: Brad to update proposal for SVDB 1090 with friendly amendment 1134 (http://www.eda.org/svdb/view.php?id=1134) Brad requests that keywords appear in bold in example in 22.2.3 Consensus that localparams be skipped when dealing with override by position. This is the same as non-ANSI-style parameter usage currently enabled and relaxes requirement in the current proposal that they appear at the end of the list. Update wording and BNF accordingly. Remove NOTE covered by: "MODIFY 8.24 by adding the following text:" Members of SV-BC will notify the SV-EC of this proposal. 1209 (http://www.eda.org/svdb/view.php?id=1209) Dave moves to accept proposal. Cliff seconds. No opposed. No abstain. Motion passes. 1331 (http://www.eda.org/svdb/view.php?id=1331) Dave moves to accept proposal. Alex seconds No opposed. No abstain. Motion passes. 1444 (http://www.eda.org/svdb/view.php?id=1444) Cliff moves to accept proposal. Brad seconds No opposed No abstain Motion passes. 1548 (http://www.eda.org/svdb/view.php?id=1548) Brad moves to accept proposal. Dave seconds No opposed. No abstain. Motion passes. 1607 (http://www.eda.org/svdb/view.php?id=1607) Dave moves to accept proposal. Brad seconds No opposed. No abstain Motion passes 1651 (http://www.eda.org/svdb/view.php?id=1651) Cliff moves to resolve as a duplicate of 1589 Steven seconds Opposed: Dave (not the same name as original request) Brad (not the same name as original request) Stu (not the same name as original request) Cliff (not the same name as original request) No consensus. 1484 (http://www.eda.org/svdb/view.php?id=1484) Karen moves to accept proposal. Brad seconds. No opposed. No abstain. Motion passes. 10:56 AM: Motion to adjourn For a future meeting: + Issues 1745 (http://www.eda.org/svdb/view.php?id=1745) 1935 (http://www.eda.org/svdb/view.php?id=1935) 1939 (http://www.eda.org/svdb/view.php?id=1939) 1945 (http://www.eda.org/svdb/view.php?id=1945) + Cliff's case statement syntax Left the discussion with interest in a parallel but not full case modifier. If someone has a proposal about this, we can review. Action Items Complete 07/09/2007 Shalom proposal for SVDB 1119 to include friendly amendment 07/09/2007 Matt update proposal for SVDB 1341 with friendly amendment Pending 01/09/2006 Shalom file new issure related to SVDB 1254 and include example 03/27/2006 Cliff post examples demonstrating the need for 0-1 wildcard to justify further action on SVDB 99 Other ideas: elsex, defaultx, ifx, alwaysx, initialx, Question: what about X expression in index of Vector or array expressions (a[i] where i === 'X). Cliff to pursue further action. 04/10/2006 Steven to create proposal for SVDB 1386 04/10/2006 Steven to create proposal for SVDB 1078 06/19/2006 Francoise check with SV-CC regariding suitability of data read API to address debugging of "dynamic data" in lieu of vcd extensions. 07/10/2006 Steve add bugnote to 1043 about specifics for resolution 07/31/2006 Steve add bug note to 1481 with details for a clearer proposal 09/25/2006 Matt add SVDB entry to request capability to packages: import/export & non-exported (local) package declarations. 10/09/2006 Brad: enhance 1554 proposal to prevent circularity of expressions (address use or non-use of hierarchical references). 10/23/2006 Matt send example of use of forward typedef. 12/04/2006 Shalom present compelling example of `begin_define -- `end_define 12/18/2006 Cliff open Mantis item about 'predefault' with compelling examples 01/08/2007 Vendors to describe interpretation of a path when there are multiple drivers involved and share test cases on reflector. 03/05/2007 ALL look at SV-AC items and send Matt a note if you require more information to address them. Matt will work with SV-AC to get additional information. 03/19/2007 Cliff send e-mail to Karen regarding SVDB account 04/26/2007 All: Revisit concept of memory in Draft 3 Affects PLI backward compatibility and readmem system tasks. After some discussion, ambivalence gave way to agreement that arrays and memories as covered in 7.4 need to be revisited for clarification. SVDB 1362 covers this issue. Dave will start working on it. 06/11/2007 Stu reword proposal for SVDB 1111 06/11/2007 Matt notify SV-AC to update 1641 to system task/function section 06/11/2007 Mark attempt to define 'variation' in context of resolving SVDB 1850 06/25/2007 Gord add new SVDB entry and proposal to address expression sizing issues for literals of unspecified widths. 06/25/2007 Steve look into ability to use 1800 types with old-style port decls 07/23/2007 Brad update proposal for SVDB 1090 with friendly amendment