Section 23.2
Macros and escaped identifiers – Mantis item 1537

 

In 23.2, REPLACE

 

          In SystemVerilog, the macro text can also include `", `\`", and ``.

 

        An `" overrides the usual lexical meaning of " and indicates that the expansion should include an actual quotation mark. This allows string literals to be constructed from macro arguments.

 

WITH

 

In SystemVerilog, the macro text can also include `", `\`", and ``.

 

          An `" overrides the usual lexical meaning of " and indicates that the expansion should include an actual quotation mark. This allows string literals to be constructed from macro arguments.

 

        An `\ overrides the usual lexical meaning of \ and indicates that the expansion should include an actual backslash. This allows escaped identifiers to be constructed from macro arguments.