Section 6.3.2.1, page 67  (IEEE Std 1800™-2005)

Change

To support whether a constant is $, a system function is provided to test whether a constant is a $. The syntax

of the system function is

 

       $isunbounded(const_expression);

 

$isunbounded returns true if const_expression is unbounded. Typically, $isunbounded would be used as

a condition in the generate statement.

 

To

A system function is provided to test whether a constant is a $. The syntax

of the system function is

 

       $isunbounded(constant_param_expression);

 

$isunbounded is of type bit and returns the value 1 (true) if constant_param_expression is unbounded. Typically, $isunbounded would be used as

a condition in the generate statement.

 

Section Index, page 637  (IEEE Std 1800™-2005)

Change

$isunbounded 380

 

To

$isunbounded 67, 380

 

 

 

 

Section 22.4, page 380  (IEEE Std 1800™-2005)

Change

range_function ::=                                                                                                                            // not in Annex A

                                $isunbounded ( constant_expression )

 

To

range_function ::=                                                                                                                            // not in Annex A

                                $isunbounded ( constant_param_expression )

 

 

Section 22.4, page 380  (IEEE Std 1800™-2005)

Change

Otherwise, it shall return false. True and false are defined in 22.9.

 

To

Otherwise, it shall return false. True and false are defined in 22.9. Additional description and examples can be found in 6.3.2.1.