Minutes of the July 21, 2003 SV-BC Meeting (Design Modeling Committee) -a Tom Kiley aa Matt Maidment - Intel aa Brad Pierce - Synopsis -a Karen Pieper - Synopsis aa Johny Srouji - Intel aa Dan Jacobi - Intel aa Dave Rich - Synopsis aa Francoise Martinolle - Cadence -a Jay Lawrence - Cadence a- Dennis Brophy - Mentor a- Vassilios Gerousis - Infineon Meeting Minutes: ================ Review of our meeting minutes: ------------------------------ Johny moves to accept the meeinng minutes. Dave seconds. No Opposed. passes. Review of open Action Items: ---------------------------- * Johny to take Jay's comments up with the TCC DONE. this topic was discuss in TCC and few changes were made. ==> Johny will submit a modified version of the operating guidelines to sv-bc Dennis noted that we should look into the exception of the note that if something that can't be implemented, we will reopen the discussion Other than that, no major issues were seen by other members. It was decided that we will vote on the operating guidelines in our next tele-call scheduled for Monday of August 4th. * Dave Rich to find an owner for a donation on issue #4: "VCD dumping for all types" no update yet. dave is still working on it. * Brad to come up w/ a proposal on issue #12. Brad believes he already did so and that the proposal was approved. need to ask Stewart whether it needs his approval. * Brad to forward Dan an email regarding syntax issues about port declaration syntax Done. Issue #18. Dan has the AR to check this. Open Issues List ---------------- * issue #8. should be a space before the commas the proposal is: ADD to draft 3 a new section 18.2.4 18.2.4 Back annotation When the interfaces are expanded out into individual wires, the ports need to be given legal identifiers. A synthesis or layout tool shall use the escaped version of the hierarchical name. For example module memMod ( \a.req, \a.gnt, \a.addr, \a.data, \a.mode, \a.start, \a.rdy, clk); These names can then be used in an SDF file for back annotation. Francoise asked to further explain and add detailes to this proposal. the expansion rules are not clear the naming conventions for these interfaces can the interface names need to be in the order or mixed order Does this change the information in the SDF file? Synthesis tools need to follow this naming convention. Dave will put them in the list to be clarified, after which we will vote on this proposal. * issue #23 - Dan sent a proposal. Footnote for SV-BC73 - 0953 Dan moves that we accept the proposal after removing the word "preceded or" from the footnotes. Brad seconds. No opposed. Passes. Item closed. * Discuss the addition of the longest prefix issue under: http://www.eda.org/sv-bc/hm/0580.html. This was not incorporated under SV3.1 and therefore we need to discuss its addition to 3.1A issues list Dave asked for a replacement for Gord coming to this meeting. this person has not showed up. need to delay until we have someone from Synopsis to attend. there was a proposal. the reason it did not pass is because some people thought we could loose our optimization down the road and did not have the time to study. Will postpone the discussion of this item till next meeting, August 4th. Dave moves that we vote on this item by next tele-call scheduled for August 4th. Dan seconds. no opposed. Passes. * Francoise sent a note regarding dpi_import, CC html message #1376 (BC message 0976) It seems that keyword function was removed from dpi_import declaration. was it dropped by intention or by mistake? Francoise wants it in, although it is redundant. Draft 3.0 and backwards had the keyword in it, while Draft 4.0 onwards had it missing. Dan to contact Stephen Boyd on why was it dropped After this, Francoise will take this issue w/ SV-CC. * Karen: include the old issues list on the WEB * Item #2 is closed. should be taken up w/ the 1364 team. this is the gate level stuff * Item #7: Dave is not the owner. postponed * a verbage in V2k to take care of this. Dave moves that we close this item. Brad seconds. No oppose. Passes This was in section 12.4 of 1364-2001 * the closure of this item is pending a clarification w/ Stuwart * Item 18: will be discuss by next meeting Brad will communicate this to Evan. this will be discussed next time and Evan will be sent a note to attend Open Action Items ----------------- ==> Johny will submit a modified version of the operating guidelines to sv-bc ==> Everyone to read the latest version of our operating guidelines before our next tele-call on August 4th, as we will vote on it then. ==> Dave Rich to find an owner for a donation on issue #4: "VCD dumping for all types" ==> Brad to contact Stewart regarding issue #12 (whether it needs his approval) ==> Dan to check on syntax issues wrt port declaration syntax (issue #18) ==> Dave will include further clarification issues that are required for issue #8 in a list and get them clarified, after which we will vote on this proposal. ==> Vote on the "longest prefix issue" by next tele-call, which is scheduled for Monday August 4th ==> Dan to contact Stephen Boyd on why dpi_import was dropped ==> Karen: include the old issues list on the WEB