Label Item Status When U AI BNF
SV-BC1 Deprecation Complete None      
SV-BC2 Time precision and timescale Complete P-0326   Dave Rich to propose  
SV-BC3 Dynamic process control Complete None   sv-ec item  
SV-BC4 DSM (negative timing check)       Dennis to make proposal  
SV-BC5 Data alignment and packing P-0363 7/22/2002 Y sv-ec; Kevin proposal on bytes in integers  
SV-BC6 Clarify auto increment/decrement Complete 1/20/2003      
SV-BC7 (Section 2) literals Complete None   Issues enumerated below  
SV-BC7a (2) Size of '1 Complete 7/22/2002 Y    
SV-BC7b (2) Signed arithemetic Complete 8/19/2002 Y    
SV-BC7c (2) Strings as array and structure literals     Y Steven to propose  
SV-BC7d (2) Legal locations for array & struct lits Complete None   9/16/2002  
SV-BC7e (2) Analyze-time ambiguity concat vs lit Complete None      
SV-BC7e Ambiguity among concat, lit, and struct formal       Brad? (Clarification in union section?) 7/22  
SV-BC8 Cadence section 3 issues Complete None   Issues enumerated below  
SV-BC8-1a (3) Why char type? Complete None   SV-CC    
SV-BC8-1b (3) Why shortint Complete None   SV-CC    
SV-BC8-1c (3) Orthogonality between int, integer Complete None   SV-CC    
SV-BC8-1d (3) Longint issues Complete None   SV-CC    
SV-BC8-1e (3) Is byte useful? Complete None   SV-CC    
SV-BC8-1f (3) Bit is likely to match variable names in old code Complete None   SV-CC    
SV-BC8-1g (3) Logic is also likely to match user's names Complete None   SV-CC    
SV-BC8-1h (3) unsigned as a keyword? Complete None   SV-CC    
SV-BC8-1i (3) Utility of shortreal Complete None   SV-CC    
SV-BC8-1j (3) Is void necessary Complete None   SV-CC    
SV-BC8-2a (3) Data packing issue - struct element ordering Complete 8/19/2002 Y    
SV-BC8-2b (3) Unions and 4 state members Complete 11/25/2002 Y Dave Rich to propose  
SV-BC8-3 (3) Type use before definition Complete        
SV-BC8-5 (3.4.1) Issues with time data type Complete P-0422   Peter to propose clarification  
SV-BC8-7 (3.7) Definition of masked and unmasked Complete 11/15/2002   Stu to implement global edit  
SV-BC8-9 (3.7) Passing large structs/arrays Complete None   to sv-ec  
SV-BC8-10 (3.8) Conversion of short reals to 32 bits Complete        
SV-BC9 Parameterized data types Complete None   No action to be taken  
SV-BC9-a (3.1) Parameterized data types Complete None   SV-BC8-4  
SV-BC10 Displaying enums Complete None   Overview  
SV-BC10a (3.6) Implications of Enum type I/O Complete None   SV-BC8-6  
SV-BC10b VCD dumping for all types: enums       Gord to find someone for all types  
SV-BC10b-1 VCD dumping for all types: union, packed, struct Complete P-0380   Dave to propose mascarading descriptions  
SV-BC10b-2 VCD -- width representation and other issues       Gord to find someone for all types  
SV-BC10b-3 VCD -- dynamic arrays and other 3.1 extensions       Gord to find someone for all types  
SV-BC10c Printing enums as strings and integers Complete 11/11/2002 Y    
SV-BC11 Members of signed packed arrays signed? Complete None      
SV-BC11a (3.7) Size requirement on packed union members Complete 9/16/2002 Y SV-BC8-8  
SV-BC11b (4.2) Packed array of signed Complete None   SV-BC8-11  
SV-BC12 Constant exprs; difference among decls Complete None   Peter to determine where to put fix  
SV-BC12a (5.3) Constant expression Complete None   SV-BC8-12  
SV-BC13 Change BNF to simplify attributes Complete None   Cliff to send to 1364  
SV-BC13a (6.1) Attribute syntax Complete None   SV-BC8-13  
SV-BC14 (9) Process execution efficiency Complete None   Closed with Kevin's agreement  
SV-BC15 Clarify interleaving, event scheduling Complete None   SV-BC8-14 through SV-BC8-17  
SV-BC15a (9) Process execution efficiency when calling C Complete None   Karen to forward to sv-cc  
SV-BC15b (9.1) Interleaving of execution Delayed     Delayed until all other issues addressed  
SV-BC15c (9.1) Scheduling algorithm Delayed     Delayed until all other issues addressed  
SV-BC15d (9.1) Issues with dynamic processes Complete None   to sv-ec  
SV-BC16 Interfaces: enhancements/simplifications Complete None   SV-BC8-18 through SV-BC8-23  
SV-BC16a (13) Interfaces vs. Modules Complete None   Not in our current charter  
SV-BC16b (13.1) Interfaces restrictions Complete None   Not in our current charter  
SV-BC16c (13.1) Scheduling issues Complete None   Moved to SV-EC  
SV-BC16d (13.2.3) Interface usage issues Complete None   Moved to SV-EC  
SV-BC16e (13.4) Modports issues Complete None   Moved to SV-EC  
SV-BC16f (13.5.4) Issue with extern forkjoin task Complete P-0422   Peter to propose clarification  
SV-BC17 (More Section 3) comments Steve, 7/8/02 14:14 Complete None   Issues enumerated below  
SV-BC17a (3) predefinition of integer types not required Complete None   SV-CC    
SV-BC17b (3) 2 state Enums and x assignments Complete 10/14/2002 Y    
SV-BC17c (3) limits on automated enumerations  Complete None   Closed with Steve's agreement  
SV-BC17d (3) auto-increment and enum overflow Complete 10/14/2002 Y    
SV-BC17e (3) Type checks not done in =, arguments, < Delayed     Tabled until testbench discussion occurs  
SV-BC17f (3) Hierarchical path and struct/union using "." Complete None   Closed with Steve's agreement  
SV-BC18 (Section 5) Steve, 7/8/02 14:14 Complete None   Issues enumerated below  
SV-BC18a (5) "static" was rejected by 1364 Complete None   No action to be taken  
SV-BC18b (5) Automatic variables use outside of subroutines Complete 11/25/2002      
SV-BC18c (5) Variable initialization event generation Complete None   Change proposal failed  
SV-BC18d (5) Performance of automatic initialization Complete None   To be combined with SV-BCb  
SV-BC18e (5) Automatic variables triggering events? Complete None   Closed with Steve's agreement  
SV-BC18f (5) Logic type appears superfluous       Dave Rich to propose  
SV-BC18g (5) Is the logic type similar to a net?       Dave Rich to propose  
SV-BC18h (5) logic variable initialization when a port Complete P-0415   Dave to propose  
SV-BC18i (5) Logic variables have strength? MOS outputs Complete None   Dave Rich to propose  
SV-BC19 Dan Jacobi's issues 9/11/02 02:00 Complete None   Issues enumerated below  
SV-BC19-1 (7)^~ is missing from precedence table Complete 11/11/2002 Y    
SV-BC19-2 (13)Missing () on interface examples Complete 1/29/2003   Karen to propose correction  
SV-BC19-3 (A.1.1) Extraneous [] on library declaration  Complete 11/11/2002 Y ETF 136  
SV-BC19-4 (A.1.1) back tic required before include directive Complete None   Closed with Dan's agreement  
SV-BC19-5 (A.1.2) Extraneous [] on liblist_clause Complete 11/11/2002 Y ETF 136  
SV-BC19-6 (A.1.3) Wrong rule for some mod instantiations Complete 11/25/2002 Y ETF 155  
SV-BC19-7 (A.1.3) Wrong rule for some interface insts Complete 11/25/2002 Y    
SV-BC19-8 (A.1.4) Enable concatenated ports Complete 11/11/2002 Y    
SV-BC19-9 (A.1.6) missing ; after port declaration Complete 11/11/2002 Y    
SV-BC19-10 (A.1.6) unnecessary productions used Complete 11/11/2002 Y    
SV-BC19-11 (A.2.1.2) identifier should not be bold Complete 11/11/2002 Y    
SV-BC19-12 (A.2.1.3) typedef syntax clarification needed P-0468 11/11/2002 Y Brad to propose BNF
SV-BC19-13 (A.2.2.1) incorrect dimension added Complete 11/25/2002 Y    
SV-BC19-14 (A.2.2.1) unnecessary square brackets Complete 11/11/2002 Y    
SV-BC19-15 (A.2.2.3) delays () required on delays Complete 11/25/2002 Y ETF 63, 174  
SV-BC19-16 (A.2.3) simplify a production Complete 11/11/2002 Y ETF 193  
SV-BC19-17 (A.2.3) simplify a production Complete 11/11/2002 Y ETF 193  
SV-BC19-17a (A.2.6) signed function declarations Complete P-0454     BNF
SV-BC19-18 (A.2.6) enable default type decls on functions Complete 1/15/2003   Dan to propose  
SV-BC19-19 (A.2.7) enable default type decls on tasks Complete 11/25/2002 Y    
SV-BC19-20 (A.2.9) typo in named_function_proto Complete 11/25/2002 Y    
SV-BC19-21 (A.3.1) range already parsed VSG/PS     Dan to propose fix matching ETF 112, 200  
SV-BC19-22 (A.4.1.1) unnecessary [] Complete 11/25/2002 Y    
SV-BC19-23 (A.4.1.1) unnecessary [] VSG/PS     ETF 202  
SV-BC19-24 (A.4.1.1) unnecessary range specification VSG/PS     ETF 112, 200  
SV-BC19-24a (A.4.1.1)  Complete     Closed with Brad's approval  
SV-BC19-25 (A.4.2.1) unnecessary production Complete 11/25/2002 Y    
SV-BC19-26 (A.5.4) [] need to not be bold Complete None   Duplicate of SV-BC19-24  
SV-BC19-27 (A.6.4) semi-colon needed Complete 11/25/2002 Y    
SV-BC19-28 (A.6.4) issues with function_call_statement Complete 1/15/2003   SV-BC19-30, SV-BC19-38  
SV-BC19-29 (A.6.4) semi-colon needed Complete 11/25/2002 Y    
SV-BC19-30 (A.6.4) issues with function_call_statement Complete None   Added to SV-BC19-28  
SV-BC19-31 (A.6.5) redundant rules for event expression Complete 1/15/2003      
SV-BC19-31a (A.6.5) ETF redundant rules for event expression Complete None   ETF 182  
SV-BC19-32 (A.6.6) redundant productions for if Complete None   ETF 178 (addressed by ETF 12/16/02)  
SV-BC19-33 (A.6.6) redundant productions for if Complete None   ETF 178 (addressed by ETF 12/16/02)  
SV-BC19-34 (A.6.8) expand for-loop expressions Complete 1/29/2003   Dan to propose  
SV-BC19-35 (A.6.8) redundant repeat loop declaration VSG/PS     ETF 85  
SV-BC19-36 (A.6.8) remove ; Complete 12/20/2002 Y    
SV-BC19-37 (A.6.9) changes to support null arguments VSG/PS     ETF 232  
SV-BC19-38 (A.6.9.1) add a function call statement Complete None   Added to SV-BC19-28  
SV-BC19-39 (A.6.10) bold [] on constant expression Complete 1/13/2003      
SV-BC19-40 (A.7.5.3) posedge and negedge redundant Complete None   Dropped with Dan's agreement  
SV-BC19-41 (A.7.5.3) redundant rule VSG/PS     ETF 237  
SV-BC19-42 (A.7.5.3) redundant rules Complete None   Duplicate of SV-BC19-41  
SV-BC19-43 (A.8.2) genvar_function_call not used Complete 1/15/2003   ETF 28 (addressed by ETF 12/16/02)  
SV-BC19-44 (A.8.3) odd syntaxes allowed Complete 1/29/2003   Brad to propose  
SV-BC19-45 (A.8.3) redundant production VSG/PS     ETF 238  
SV-BC19-46 (A.8.3) attributes and auto-increment conflict Complete 1/15/2003      
SV-BC19-46a (A.8.3) attributes allowed on ++ --? Complete 1/29/2003   Yes,  BNF meeting to fix.  Not ambiguous  
SV-BC19-47 (A.8.3) redundant production VSG/PS     ETF 238  
SV-BC19-48 (A.8.4) time/instantiation naming conflict Complete 1/29/2003   Dan to draft a proposal  
SV-BC19-49 (A.8.4) expression simplification Complete 1/29/2003   ETF 181, 53  
SV-BC19-50 (A.8.4) redundant operators with {} and {{}} Complete 1/29/2003      
SV-BC19-51 (A.8.4) ambiguity with time/instantiation Complete None   Duplicate of 19-62, 19-48  
SV-BC19-52 (A.8.5) simplification of grammar Complete None   Duplicate of SV-BC19-49  
SV-BC19-53 (A.8.5) illegal syntax? Complete None   Duplicate of SV-BC19-46  
SV-BC19-54 (A.8.5) illegal syntax? Complete 1/29/2003   Brad to propose  
SV-BC19-55 (A.8.5) illegal syntax? Complete None   Duplicate of SV-BC19-49  
SV-BC19-56 (A.9.3) not bold [] Complete 1/15/2003      
SV-BC19-57 (A.9.3) not bold [] Complete 1/15/2003      
SV-BC19-58 (A.9.4) enable select indexes of hier ref Complete 1/15/2003      
SV-BC19-59 (B) keywords transition/endtransition not used Complete 12/20/2002 Y    
SV-BC19-60 interface instantiation w/o ports not supported       Dan to driveP  
SV-BC19-61 complex delay expressions only within () Complete None   Duplicate of SV-BC19-48  
SV-BC19-62 time literals in expressions only with () Complete None   Duplicate of SV-BC19-48  
SV-BC19-63 attributes not allowed on ++, -- Complete None   Duplicate of SV-BC19-46a  
SV-BC19-64 functions with no ports allowed P-0318        
SV-BC19-65 automatic operators allowed in loop iteration Complete None   Same as SV-BC 19-34  
SV-BC19-66 parameter declaration in $root Complete None   Dan to open with SV-EC  
SV-BC19-67 default initialization of unpacked structs Complete None   Duplicate of SV-BC62a  
SV-BC19-68 hierarchical identifier selects P-0318        
SV-BC19-69 single declaration definition in modport decls Complete None   Superceded by SV-BC60  
SV-BC20 Typedef and generate issues (gord 9/17 7:47) Complete P-0061   Gord to propose  
SV-BC21 Always_comb semantics (gord 10/1 13:23) Complete None   Issues enumerated below  
SV-BC21-1 Granularity of variables written P-0292     Gord to propose  
SV-BC21-2 Function variable writes P-0292     Gord to propose  
SV-BC21.3 RHS implied sensitivities P-0292     Gord to propose  
SV-BC22 Modport syntax (Message 0096) Complete None   Issues enumerated below  
SV-BC22-1 BNF issue Complete None   Superceded by SV-BC60  
SV-BC22-2 Example issue Complete 12/20/2002 Y    
SV-BC23 Concat vs. Struct declaration (gord 10/14 8:38) Complete None   Duplicate of BC7d, BC19-67  
SV-BC24 Declaration of variables in unnamed blocks Complete None   No action.  10/28/02 Meeting  
SV-BC25 Develop a method for restricting hierarchical ref Complete None   No action.  10/28/02 Meeting  
SV-BC26 Need a section to discuss print control Complete None   11/11/02 Meeting  
SV-BC26-1 %n Complete 1/22/2003   reverse %n addition  
SV-BC26-2 %z and %u on new datatypes       Gord to propose  
SV-BC27 Use interface_identifier rather than identifier Complete 12/20/2002 Y Dan Jacobi 11/12/02 9:43am  
SV-BC28 A.2.9 modport_declaration bold modport Complete 12/20/2002 Y Brad Pierce 11/13/02 5:22pm  
SV-BC29 Need $bitstoreal and $realtobits for shortreal Complete P-0293   Gord to propose  
SV-BC30 Disabling calls for fork/join tasks clarification P-0284     11/15/02 minutes  
SV-BC31 Interface trimming if modport not used?       Steven to propose or close 11/15/02 minutes  
SV-BC32 Back Annotation and timing with interfaces       Peter to propose naming 11/15/02 minutes  
SV-BC33 Back Annotation and timing with logic Deferred     Nee logic resolutoin.  11/15/02 minutes  
SV-BC34a Multiple namespaces exist Complete P-0446   Dave will propose  
SV-BC34 Attribute isses Complete None   Brad 11/18/02 19:02 (0154) Brad to EC  
SV-BC35 task port declarations in BNF Complete P-0445   Shalom 11/19/02 10:14 (0155) (p-0413?) BNF
SV-BC36 Conversion from 4-state to 2-state as undefined       Kevin's issue for Analog Simulation 11/25  
SV-BC37 Remove footnote on BNF Complete 1/29/2003   Brad Pierce 11/25/02 17:28pm (0176)  
SV-BC37a Illegal modports not clear Complete     Karen's error same as SV-BC39  
SV-BC38 I++ not valid as an lvalue Complete None   Covered by ETF 53  
SV-BC39 Illegal modports not clear       Brad Pierce 11/25/02 18:16pm (0178)  
SV-BC40-1 * as a wildcard in library expressions E?     Eric Olson's mail 12/3/02 1:52  
SV-BC40-2 Implicit partial match in port expressions E?     Eric Olson's mail 12/3/02 1:52  
SV-BC41 Deleting types on modport decls Complete     Closed with Brad's approval  
SV-BC42 Stu Sutherland 12/6/02 16:39 (0222) Complete None      
SV-BC42-1 3.3 bit selects of int, byte, char, etc          
SV-BC42-2 3.7 masked, unmasked Complete None   Same as SV-BC8-7  
SV-BC42-3 3.7 assignment to packed and unpacked unions          
SV-BC42-4 4.2 change "may" to "can" Complete 12/20/2002 Y    
SV-BC42-5 5.6 port contradiction       Subperceded by 18f,g,h,I  
SV-BC42-6 5.6 logic driven by "explicit" continuous assign Complete None   Addressed by 18i, 18h  
SV-BC42-7 5.6 expand on assign statement Complete None   Addressed by 18i, 18h  
SV-BC42-8 5.6 explain logic and declaration assignments Complete None   Addressed by 18i, 18h  
SV-BC42-9 5.6 where can bit types be used       Superceded by 18f,g,h,I  
SV-BC42-10 5.6 legal use of int, byte, char, etc       Superceded by 18f,g,h,I  
SV-BC42-11 7.8 Precidence table issues          
SV-BC42-12 8.9 Change signal name Complete 12/20/2002 Y    
SV-BC42-13 8.9 comments are the same          
SV-BC42-14 9.1 change always_ff to bold Complete 12/20/2002 Y    
SV-BC42-15 9.2 hierarchical reference in always_comb?          
SV-BC42-16 9.2, 9.3 always_latch sensitivity          
SV-BC42-17 9.3 bold always_latch Complete 12/20/2002 Y    
SV-BC42-18 11.9 delete first only Complete 12/20/2002 Y    
SV-BC42-19 12.2 instance name for implicit instantiation          
SV-BC42-20 12.2 change shall to can Complete 12/20/2002 Y    
SV-BC42-21 12.6, 3.4.1 clarification on timeunit/precision          
SV-BC42-22 12.6, 3.4.1 illegal for many timeunit/precision          
SV-BC42-23 12.7.4 .* connections only to variables          
SV-BC42-24 12.7.4 are global signals connected?          
SV-BC42-25 12.7.5 change simulator to standard Complete 12/20/2002 Y    
SV-BC42-26 12.7.5 change will run to shall Complete 12/20/2002 Y    
SV-BC42-27 12.7.5 change run to shall Complete 12/20/2002 Y    
SV-BC42-28 12.7.5 change issue to result in Complete 12/20/2002 Y    
SV-BC42-29 13.1 change modelling to modeling Complete 12/20/2002 Y    
SV-BC42-30 13.5.3 normative text needed on prototypes          
SV-BC42-31 A.2.1.2 identifier should not be bold Complete None   SV-BC-19-11  
SV-BC42-32 A.2.2.1 signed/type ordering       See SV-BC41 BNF
SV-BC42-33 A.6.1 continuous assign for bit/logic         BNF
SV-BC42-34 A.6.2 initial, always_* bold Complete 12/20/2002 Y    
SV-BC42-35 A.6.4 bold process Complete 12/20/2002 Y    
SV-BC43 Need for structured, multiply driven buses       Discussion on 12/9/02  
SV-BC44 Steven Sharp 12/10/02 19:51 (0242) Complete None      
SV-BC44-1 (7) Assignments as expressions Chairs     removal of assignment as expression 1/20  
SV-BC44-2 (7) automatic operators are a bad idea          
SV-BC44-3 (7) signedness of an assignment as expression Complete 1/22/2003      
SV-BC44-4 (8) unique and priority as assertions          
SV-BC44-5 (8) do-while rejected by 1364          
SV-BC44-6 (8.4, 8.6) hierarchical names and stmt labels          
SV-BC44-7 (8) XMRs  local variables in unnamed blocks          
SV-BC44-8 (8) statement labels unnecessary          
SV-BC44-9 (8.8) disables and non-blocking assigns Complete 1/22/2003      
SV-BC44-10 (8.8) disables and forces or proced cont assign          
SV-BC44-11 (8) disable and fork/join          
SV-BC44-12 (8) break, continue and fork/join          
SV-BC44-13 (8) iff useful?          
SV-BC44-14 (8.9) posedge and negedge definition          
SV-BC44-15 (8) changed unnecessary Complete 1/22/2003      
SV-BC44-16 (8.9) side-effects in event control          
SV-BC45 Dynamic checking of enums is expensive. Complete P-0434   SV-EC, Dave to propose  
SV-BC46 char representing both byte and uni-code (sv-ec) Complete 1/6/2003 N    
SV-BC47 ?: and 2 state resolution (sv-ec)          
SV-BC48 Do-while needs trailing semi-colon Complete 1/15/2003   Brad Pierce 1/2/03 16:15 (0283)  
SV-BC49-1 B Add edge as a keyword Complete 1/29/2003   Dan Jacobi 1/6/03 1:59 (0287)  
SV-BC49-2 A.7.5.3 "Edge" use in BNF from SV-BC19-40 Complete 1/29/2003   Dan Jacobi 1/6/03 1:59 (0287)  
SV-BC50 A.2.6 signedness in function BNF Complete 1/29/2003   (0287) Same as 19-17a  
SV-BC51 size of an expression assignment is undefined Complete None   Closed with Brad's agreement  
SV-BC52 clarification of operations on unpacked arrays          
SV-BC53 Issues with auto-increment description       Paul Graham 1/6/03 17:33 (0298)  
SV-BC54 Message 0335 Complete None      
SV-BC54-1 (A.2.1.1) Bold type Complete 1/29/2003      
SV-BC54-2 (A.2.4, A.8.3) Parameter type ambiguities Complete 1/29/2003      
SV-BC55 BNF allows packed integer arrays Complete 1/29/2003   Dave Rich 1/16/03 11:35 (0338)  
SV-BC56 Operations allowed on unpacked arrays Complete 1/22/2003   Message 0319  
SV-BC57 Result type of an assignment Complete     Same as SV-BC44-3  
SV-BC58 Slices of unpacked arrays Complete P-0331   Message 0331  
SV-BC59 Inconsistency in priority/unique P-0360     Message 0360  
SV-BC60 Modport syntax issues Complete P-0410   Message 0358  
SV-BC61 Type equivalency rules for extern interface tasks       Meeting 1/22/03  
SV-BC61a Are nets and regs assignment compatible (0374)       Meeting 1/22/03 (Matt's issues)  
SV-BC62a Simpler declaration of unpacked struct lits (0374) Complete P-0382   Matt Maidment meeting 1/22/03  
SV-BC62b Packed array of packed structs (0374) Complete None   Dave to propose  
SV-BC62c Expanding ?: to work on unpacked data (0374)       Dave to propose  
SV-BC63 Are typedefs allowed of types in modules?       Meeting 1/29/03  
SV-BC64 Multiply dimensional arrayed instances       Meeting 1/29/03  
SV-BC65 Structure literals vs concat determination  P-0436     SV-EC   
SV-BC66 Update BNF to reflect ETF changes Complete P-0433      
SV-BC67 () after interface instantiation needed  Complete P-0435      
SV-BC68 BNF issues Complete P-0404      
SV-BC69 Macro issues (0478)          
SV-BC70 Type_declaration_identifier (0477) P-0477        
SV-BC71 Extern modules P-0466