Label Item Status When U AI BNF  
  SV-BC1 Deprecation Complete None        
  SV-BC2 Time precision and timescale       Dave Rich to propose    
  SV-BC3 Dynamic process control Complete None   sv-ec item    
  SV-BC4 DSM (negative timing check)       Dennis to make proposal    
  SV-BC5 Data alignment and packing   7/22/2002 Y sv-ec; Kevin proposal on bytes in integers    
  SV-BC6 Clarify auto increment/decrement       Karen to make a proposal    
  SV-BC7 (Section 2) literals Complete None   Issues enumerated below    
  SV-BC7a (2) Size of '1 Complete 7/22/2002 Y      
  SV-BC7b (2) Signed arithemetic Complete 8/19/2002 Y      
  SV-BC7c (2) Strings as array and structure literals     Y Steven to propose    
  SV-BC7d (2) Legal locations for array & struct lits Complete None   9/16/2002    
  SV-BC7e (2) Analyze-time ambiguity concat vs lit Complete None        
  SV-BC7e Ambiguity among concat, lit, and struct formal       ?? (Clarification in union section?) 7/22    
  SV-BC8 Cadence section 3 issues Complete None   Issues enumerated below    
  SV-BC8-1a (3) Why char type? Complete None   SV-CC      
  SV-BC8-1b (3) Why shortint Complete None   SV-CC      
  SV-BC8-1c (3) Orthogonality between int, integer Complete None   SV-CC      
  SV-BC8-1d (3) Longint issues Complete None   SV-CC      
  SV-BC8-1e (3) Is byte useful? Complete None   SV-CC      
  SV-BC8-1f (3) Bit is likely to match variable names in old code Complete None   SV-CC      
  SV-BC8-1g (3) Logic is also likely to match user's names Complete None   SV-CC      
  SV-BC8-1h (3) unsigned as a keyword? Complete None   SV-CC      
  SV-BC8-1i (3) Utility of shortreal Complete None   SV-CC      
  SV-BC8-1j (3) Is void necessary Complete None   SV-CC      
  SV-BC8-2a (3) Data packing issue - struct element ordering Complete 8/19/2002 Y      
  SV-BC8-2b (3) Unions and 4 state members   11/25/2002 Y Dave Rich to propose    
  SV-BC8-3 (3) Type use before definition       Johny to discuss with EC, 11/25    
  SV-BC8-5 (3.4.1) Issues with time data type       Peter to propose clarification    
  SV-BC8-7 (3.7) Definition of masked and unmasked Complete 11/15/2002   Stu to implement global edit    
  SV-BC8-9 (3.7) Passing large structs/arrays Complete None   to sv-ec    
  SV-BC8-10 (3.8) Conversion of short reals to 32 bits       Karen to propose correction    
  SV-BC9 Parameterized data types Complete None   No action to be taken    
  SV-BC9-a (3.1) Parameterized data types Complete None   SV-BC8-4    
  SV-BC10 Displaying enums Complete None   Overview    
  SV-BC10a (3.6) Implications of Enum type I/O Complete None   SV-BC8-6    
  SV-BC10b VCD dumping for all types: enums       Gord to find someone for all types    
  SV-BC10b-1 VCD dumping for all types: union, packed, struct       Dave to propose mascarading descriptions    
  SV-BC10b-2 VCD -- width representation and other issues       Gord to find someone for all types    
  SV-BC10b-3 VCD -- dynamic arrays and other 3.1 extensions       Gord to find someone for all types    
  SV-BC10c Printing enums as strings and integers Complete 11/11/2002 Y      
  SV-BC11 Members of signed packed arrays signed? Complete None        
  SV-BC11a (3.7) Size requirement on packed union members Complete 9/16/2002 Y SV-BC8-8    
  SV-BC11b (4.2) Packed array of signed Complete None   SV-BC8-11    
  SV-BC12 Constant exprs; difference among decls       Peter to determine where to put fix    
  SV-BC12a (5.3) Constant expression Complete None   SV-BC8-12    
  SV-BC13 Change BNF to simplify attributes Complete None   Cliff to send to 1364    
  SV-BC13a (6.1) Attribute syntax Complete None   SV-BC8-13    
  SV-BC14 (9) Process execution efficiency Complete None   Closed with Kevin's agreement    
  SV-BC15 Clarify interleaving, event scheduling Complete None   SV-BC8-14 through SV-BC8-17    
  SV-BC15a (9) Process execution efficiency when calling C Complete None   Karen to forward to sv-cc    
  SV-BC15b (9.1) Interleaving of execution Delayed     Delayed until all other issues addressed    
  SV-BC15c (9.1) Scheduling algorithm Delayed     Delayed until all other issues addressed    
  SV-BC15d (9.1) Issues with dynamic processes Complete None   to sv-ec    
  SV-BC16 Interfaces: enhancements/simplifications Complete None   SV-BC8-18 through SV-BC8-23    
  SV-BC16a (13) Interfaces vs. Modules Complete None   Not in our current charter    
  SV-BC16b (13.1) Interfaces restrictions Complete None   Not in our current charter    
  SV-BC16c (13.1) Scheduling issues Complete None   Moved to SV-EC    
  SV-BC16d (13.2.3) Interface usage issues Complete None   Moved to SV-EC    
  SV-BC16e (13.4) Modports issues Complete None   Moved to SV-EC    
  SV-BC16f (13.5.4) Issue with extern forkjoin task       Peter to propose clarification    
  SV-BC17 (More Section 3) comments Steve, 7/8/02 14:14 Complete None   Issues enumerated below    
  SV-BC17a (3) predefinition of integer types not required Complete None   SV-CC      
  SV-BC17b (3) 2 state Enums and x assignments Complete 10/14/2002 Y      
  SV-BC17c (3) limits on automated enumerations  Complete None   Closed with Steve's agreement    
  SV-BC17d (3) auto-increment and enum overflow Complete 10/14/2002 Y      
  SV-BC17e (3) Type checks not done in =, arguments, <       Tabled until testbench discussion occurs    
  SV-BC17f (3) Hierarchical path and struct/union using "." Complete None   Closed with Steve's agreement    
  SV-BC18 (Section 5) Steve, 7/8/02 14:14 Complete None   Issues enumerated below    
  SV-BC18a (5) "static" was rejected by 1364       Johny to present Steve's document    
  SV-BC18b (5) Automatic variables use outside of subroutines Complete 11/25/2002        
  SV-BC18c (5) Variable initialization event generation Complete None   Change proposal failed    
  SV-BC18d (5) Performance of automatic initialization Complete None   To be combined with SV-BCb    
  SV-BC18e (5) Automatic variables triggering events? Complete None   Closed with Steve's agreement    
  SV-BC18f (5) Logic type appears superfluous       Cliff to propose extension to multiple drivers    
  SV-BC18g (5) Is the logic type similar to a net?       Dave Rich to propose    
  SV-BC18h (5) logic variable initialization when a port       Dave to propose    
  SV-BC18i (5) Logic variables have strength? MOS outputs       Dave Rich to propose    
  SV-BC19 Dan Jacobi's issues 9/11/02 02:00 Complete None   Issues enumerated below    
  SV-BC19-1 (7)^~ is missing from precedence table Complete 11/11/2002 Y      
  SV-BC19-2 (13)Missing () on interface examples       To discuss Friday    
  SV-BC19-3 (A.1.1) Extraneous [] on library declaration  Complete 11/11/2002 Y ETF 136    
  SV-BC19-4 (A.1.1) back tic required before include directive Complete None   Closed with Dan's agreement    
  SV-BC19-5 (A.1.2) Extraneous [] on liblist_clause Complete 11/11/2002 Y ETF 136    
  SV-BC19-6 (A.1.3) Wrong rule for some mod instantiations Complete 11/25/2002 Y ETF 155    
  SV-BC19-7 (A.1.3) Wrong rule for some interface insts Complete 11/25/2002 Y      
  SV-BC19-8 (A.1.4) Enable concatenated ports Complete 11/11/2002 Y      
  SV-BC19-9 (A.1.6) missing ; after port declaration Complete 11/11/2002 Y      
  SV-BC19-10 (A.1.6) unnecessary productions used Complete 11/11/2002 Y      
  SV-BC19-11 (A.2.1.2) identifier should not be bold Complete 11/11/2002 Y      
  SV-BC19-12 (A.2.1.3) typedef syntax clarification needed   11/11/2002 Y To discuss Friday BNF  
  SV-BC19-13 (A.2.2.1) incorrect dimension added Complete 11/25/2002 Y      
  SV-BC19-14 (A.2.2.1) unnecessary square brackets Complete 11/11/2002 Y      
  SV-BC19-15 (A.2.2.3) delays () required on delays Complete 11/25/2002 Y ETF 63, 174    
  SV-BC19-16 (A.2.3) simplify a production Complete 11/11/2002 Y ETF 193    
  SV-BC19-17 (A.2.3) simplify a production Complete 11/11/2002 Y ETF 193    
  SV-BC19-18 (A.2.6) enable default type decls on functions       Dan to propose BNF  
  SV-BC19-19 (A.2.7) enable default type decls on tasks Complete 11/25/2002 Y      
  SV-BC19-20 (A.2.9) typo in named_function_proto Complete 11/25/2002 Y      
  SV-BC19-21 (A.3.1) range already parsed       Dan to propose fix matching ETF 112, 200 BNF  
  SV-BC19-22 (A.4.1.1) unnecessary [] Complete 11/25/2002 Y      
  SV-BC19-23 (A.4.1.1) unnecessary []       ETF 202 BNF  
  SV-BC19-24 (A.4.1.1) unnecessary range specification       ETF 112, 200 BNF  
  SV-BC19-25 (A.4.2.1) unnecessary production Complete 11/25/2002 Y      
  SV-BC19-26 (A.5.4) [] need to not be bold       ETF 112, 200 BNF  
  SV-BC19-27 (A.6.4) semi-colon needed Complete 11/25/2002 Y      
  SV-BC19-28 (A.6.4) issues with function_call_statement       SV-BC19-30, SV-BC19-38 BNF  
  SV-BC19-29 (A.6.4) semi-colon needed Complete 11/25/2002 Y      
  SV-BC19-30 (A.6.4) issues with function_call_statement Complete None   Added to SV-BC19-28    
  SV-BC19-31 (A.6.5) redundant rules for event expression       ETF 182 ~BNF  
  SV-BC19-32 (A.6.6) redundant productions for if       ETF 178 (addressed by ETF 12/16/02) BNF  
  SV-BC19-33 (A.6.6) redundant productions for if       ETF 178 (addressed by ETF 12/16/02) BNF  
  SV-BC19-34 (A.6.8) expand for-loop expressions       ETF-?? BNF  
  SV-BC19-35 (A.6.8) redundant repeat loop declaration       ETF 85 ~BNF  
  SV-BC19-36 (A.6.8) remove ; Complete 12/20/2002 Y   BNF  
  SV-BC19-37 (A.6.9) changes to support null arguments       ETF 232 ~BNF  
  SV-BC19-38 (A.6.9.1) add a function call statement Complete None   Added to SV-BC19-28    
  SV-BC19-39 (A.6.10) bold [] on constant expression         BNF  
  SV-BC19-40 (A.7.5.3) posedge and negedge redundant Complete None   Dropped with Dan's agreement BNF  
  SV-BC19-41 (A.7.5.3) redundant rule       ETF 237 ~BNF  
  SV-BC19-42 (A.7.5.3) redundant rules       ETF 237 ~BNF  
  SV-BC19-43 (A.8.2) genvar_function_call not used       ETF 28 (addressed by ETF 12/16/02) BNF  
  SV-BC19-44 (A.8.3) odd syntaxes allowed         BNF  
  SV-BC19-45 (A.8.3) redundant production       ETF 238 ~BNF  
  SV-BC19-46 (A.8.3) attributes and auto-increment conflict         BNF  
  SV-BC19-47 (A.8.3) redundant production       ETF 238 ~BNF  
  SV-BC19-48 (A.8.4) time/instantiation naming conflict         BNF  
  SV-BC19-49 (A.8.4) expression simplification       ETF 181 ~BNF  
  SV-BC19-50 (A.8.4) redundant operators with {} and {{}}         BNF  
  SV-BC19-51 (A.8.4) ambiguity with time/instantiation         BNF  
  SV-BC19-52 (A.8.5) simplification of grammar       ETF 181 ~BNF  
  SV-BC19-53 (A.8.5) illegal syntax?         BNF  
  SV-BC19-54 (A.8.5) illegal syntax?         BNF  
  SV-BC19-55 (A.8.5) illegal syntax?       ETF 181 ~BNF  
  SV-BC19-56 (A.9.3) not bold []         BNF  
  SV-BC19-57 (A.9.3) not bold []         BNF  
  SV-BC19-58 (A.9.4) enable select indexes of hier ref       ETF ?? BNF  
  SV-BC19-59 (B) keywords transition/endtransition not used Complete 12/20/2002 Y      
  SV-BC19-60 interface instantiation w/o ports not supported            
  SV-BC19-61 complex delay expressions only within ()       ETF ??    
  SV-BC19-62 time literals in expressions only with ()            
  SV-BC19-63 attributes not allowed on ++, --            
  SV-BC19-64 functions with no ports allowed            
  SV-BC19-65 automatic operators allowed in loop iteration            
  SV-BC19-66 parameter declaration in $root            
  SV-BC19-67 default initialization of unpacked structs            
  SV-BC19-68 hierarchical identifier selects       ETF ??    
  SV-BC19-69 single declaration definition in modport decls            
  SV-BC20 Typedef and generate issues (gord 9/17 7:47)            
  SV-BC21 Always_comb semantics (gord 10/1 13:23) Complete None   Issues enumerated below    
  SV-BC21-1 Granularity of variables written       Gord to propose    
  SV-BC21-2 Function variable writes       Gord to propose    
  SV-BC21.3 RHS implied sensitivities       Gord to propose    
  SV-BC22 Modport syntax (dan.jacobi 10/3 16:32) Complete None   Issues enumerated below    
  SV-BC22-1 BNF issue         BNF  
  SV-BC22-2 Example issue Complete 12/20/2002 Y      
  SV-BC23 Concat vs. Struct declaration (gord 10/14 8:38)            
  SV-BC24 Declaration of variables in unnamed blocks            
  SV-BC25 Develop a method for restricting hierarchical ref            
  SV-BC26 Need a section to discuss print control            
  SV-BC26-1 %n            
  SV-BC26-2 %v and %u on new datatypes            
  SV-BC27 Use interface_identifier rather than identifier Complete 12/20/2002 Y Dan Jacobi 11/12/02 9:43am    
  SV-BC28 A.2.9 modport_declaration bold modport Complete 12/20/2002 Y Brad Pierce 11/13/02 5:22pm    
  SV-BC29 Need $bitstoreal and $realtobits for shortreal       Gord to propose    
  SV-BC30 Disabling calls for fork/join tasks clarification       11/15/02 minutes    
  SV-BC31 Interface trimming if modport not used?       11/15/02 minutes    
  SV-BC32 Back Annotation and timing with interfaces       11/15/02 minutes    
  SV-BC33 Back Annotation and timing with logic       11/15/02 minutes    
  SV-BC34 Attribute isses       Brad 11/18/02 19:02    
  SV-BC35 task port declarations in BNF       Shalom 11/19/02 10:14 BNF  
  SV-BC36 Conversion from 4-state to 2-state as undefined       Kevin Cameron's issue for Analog Simulation    
  SV-BC37 Remove footnote on BNF       Brad Pierce 11/25/02 17:28pm BNF  
  SV-BC38 I++ not valid as an lvalue       Dave Rich 11/26/02 00:44am    
  SV-BC39 Moport limitations       Brad Pierce 11/25/02 18:16pm    
  SV-BC40-1 * as a wildcard in library expressions       Eric Olson's mail 12/3/02 1:52    
  SV-BC40-2 Implicit partial match in port expressions       Eric Olson's mail 12/3/02 1:52    
  SV-BC41 Deleting types on modport decls       Brad Pierce 12/6/02 16:22 See 42-32    
  SV-BC42 Stu Sutherland 12/6/02 16:39 Complete None        
  SV-BC42-1 3.3 bit selects of int, byte, char, etc            
  SV-BC42-2 3.7 masked, unmasked Complete None   Same as SV-BC8-7    
  SV-BC42-3 3.7 assignment to packed and unpacked unions            
  SV-BC42-4 4.2 change "may" to "can" Complete 12/20/2002 Y      
  SV-BC42-5 5.6 port contradiction            
  SV-BC42-6 5.6 logic driven by "explicit" continuous assign Complete None   Addressed by 18i, 18h    
  SV-BC42-7 5.6 expand on assign statement Complete None   Addressed by 18i, 18h    
  SV-BC42-8 5.6 explain logic and declaration assignments Complete None   Addressed by 18i, 18h    
  SV-BC42-9 5.6 where can bit types be used            
  SV-BC42-10 5.6 legal use of int, byte, char, etc            
  SV-BC42-11 7.8 Precidence table issues            
  SV-BC42-12 8.9 Change signal name Complete 12/20/2002 Y      
  SV-BC42-13 8.9 comments are the same            
  SV-BC42-14 9.1 change always_ff to bold Complete 12/20/2002 Y      
  SV-BC42-15 9.2 hierarchical reference in always_comb?            
  SV-BC42-16 9.2, 9.3 always_latch sensitivity            
  SV-BC42-17 9.3 bold always_latch Complete 12/20/2002 Y      
  SV-BC42-18 11.9 delete first only Complete 12/20/2002 Y      
  SV-BC42-19 12.2 instance name for implicit instantiation            
  SV-BC42-20 12.2 change shall to can Complete 12/20/2002 Y      
  SV-BC42-21 12.6, 3.4.1 clarification on timeunit/precision            
  SV-BC42-22 12.6, 3.4.1 illegal for many timeunit/precision            
  SV-BC42-23 12.7.4 .* connections only to variables            
  SV-BC42-24 12.7.4 are global signals connected?            
  SV-BC42-25 12.7.5 change simulator to standard Complete 12/20/2002 Y      
  SV-BC42-26 12.7.5 change will run to shall Complete 12/20/2002 Y      
  SV-BC42-27 12.7.5 change run to shall Complete 12/20/2002 Y      
  SV-BC42-28 12.7.5 change issue to result in Complete 12/20/2002 Y      
  SV-BC42-29 13.1 change modelling to modeling Complete 12/20/2002 Y      
  SV-BC42-30 13.5.3 normative text needed on prototypes            
  SV-BC42-31 A.2.1.2 identifier should not be bold Complete None   SV-BC-19-11    
  SV-BC42-32 A.2.2.1 signed/type ordering       See SV-BC41 BNF  
  SV-BC42-33 A.6.1 continuous assign for bit/logic         BNF  
  SV-BC42-34 A.6.2 initial, always_* bold Complete 12/20/2002 Y      
  SV-BC42-35 A.6.4 bold process Complete 12/20/2002 Y      
  SV-BC43 Need for structured, multiply driven buses       Discussion on 12/9/02    
  SV-BC44 Steven Sharp 12/10/02 19:51 Complete None        
  SV-BC44-1 (7) Assignments as expressions            
  SV-BC44-2 (7) automatic operators are a bad idea            
  SV-BC44-3 (7) signedness of an assignment as expression            
  SV-BC44-4 (8) unique and priority as assertions            
  SV-BC44-5 (8) do-while rejected by 1364            
  SV-BC44-6 (8.4, 8.6) hierarchical names and stmt labels            
  SV-BC44-7 (8) XMRs  local variables in unnamed blocks            
  SV-BC44-8 (8) statement labels unnecessary            
  SV-BC44-9 (8.8) disables and non-blocking assigns            
  SV-BC44-10 (8.8) disables and forces or proced cont assign            
  SV-BC44-11 (8) disable and fork/join            
  SV-BC44-12 (8) break, continue and fork/join            
  SV-BC44-13 (8) iff useful?            
  SV-BC44-14 (8.9) posedge and negedge definition            
  SV-BC44-15 (8) changed unnecessary            
  SV-BC44-16 (8.9) side-effects in event control            
  SV-BC45 Dynamic checking of enums is expensive.       SV-EC request for us to reconsider.    
  SV-BC46 char representing both byte and uni-code (sv-ec)            
  SV-BC47 ?: and 2 state resolution (sv-ec)            
  SV-BC48 Do-while needs trailing semi-colon       Brad Pierce 1/2/03 16:15 BNF