Minutes of the 9/16/02 SV-BC Meeting. This is my list of attendees and voting status - please submit corrections: (-aaaaa) Cliff Cummings (Sunburst Design) * (aaaaaa) David Smith (Synopsys) * (-aa--a) Heath Chambers (HMC) (aaaaaa) Karen Pieper (Synopsys) * (aaaa-a) Kevin Cameron (NSC) * (-aa---) Medi Mohtashemi (Synopsys) (-aa---) Paul Graham (Cadence) (-aaaaa) Peter Flake (Co-Design) * (-aaaa-) Simon Davidmann (Co-Design) * (aaaaaa) Stefen Boyd (Boyd Technology) * (aaaa-a) Steven Sharp (Cadence) * (--aaa-) Dave Kelf (Co-Design) * (a-aaa-) Dennis Brophy (Model Technology) * (--aa--) Mike McNamara (Verisity) (--aaaa) Tom Fitzpatrick (Co-Design) * (---aaa) Vasisilios Gerousis (Seimens) * (----aa) Francoise Martinolle (Cadence) (-----a) Don Mills (LCDM Engineering) (a----a) Gord Vreugdenhil (Synopsys) (a-----) Brad Pierce (Synopsys) * indicates eligible to vote on consensus issues Minutes from the 8/19 Meeting (can be found at http://www.eda.org/sv-bc). Gordon moves that we approve the minutes, Dennis seconds. No oppose. No abstain. Passes. Karen has prepared a spreadsheet of the open issues. In the future, it will be shipped as pdf, text, or html so that it is easier to read by all members of the committee. All members of the committee should review the document to see if it is corrrect and complete. Issues: SV-BC4: DSM (negative timing check) Steve gave the name of someone at Cadence to work with Dennis on a proposal. SV-BC7-c: Legal locations for array and struct lits Steve indicated that the current specification is fine. SV-BC8-4, SV-BC9: Section 3.1 - Parameterized data types Gord moves that we acknowledge the problem, and the group will not address it because we feel it would take redesign. The committee will be willing to reconsider this if the sv-ec committee proposes significant changes to the type system. Steve seconds. No opposed. No abstain. Passes. SV-BC8-5: Issues with Time Data type Karen to ask Peter to clarify the language. For SystemVerilog, we need a clarification of how it works. There is a contradicition with the IEEE standard with this datatype, thus creating a backward compatibility issue. (ns is a reserved word? Is ns a special form of a literal? how does scaling work?) SV-BC8-7: Definition of "masked" and "unmasked" Masked and unmasked needs to be changed over to referring to two-state and four-state. Karen to ask Peter how we should translate it? ***************** SV-BC8-8, SV-BC11a: Size requirement(?) on members of a packed union Steve moves that we use "shall be" rather than "are" for the following statement in Section 3.7: "A packed union contains members that are packed structures or arrays of the same size." becomes: "A packed union contains members that must be packed structures or arrays of the same size." Gord seconds. No oppose. Kevin abstains. Passes SV-BC8-9: Passing large structs/arrays Kevin was going to make a proposal for pass by reference, but was concerned it would conflict with a Co-Design donation. Others indicated that they wanted pointers to make structures useful. Gord would like user explicit reference semantics rather than copy-in copy-out semantics. Steve would rather have unrestricted pointer access. Everyone acknowledges the problem with the C & opperator. This should be moved to the sv-ec committee. Note that the deadline for proposals and donations has passed. David moves we move this to sv-ec. Kevin seconds. No oppose. No abstain. Passes. SV-BC8-10: Conversion of short reals to 32 bits Short real to 32 bits doesn't convert by rounding, but does round in going to ints. Does using packed unions solve the problem? Concensus that the cludge rule should be pulled out. Unions can contain reals. Is this limited by casting, by union, or by assignment. We need to get rid of the assymetry. Karen to ask the Co-Design folks. SV-BC8-11, SV-BC11b: Packed array of signed Gord moves Changing this semantics will conflict with 1364, so we will take no action in this area. Steve seconds. No oppose. No abstain. Passes. SV-BC8-12, SV-BC12a: Constant expression Has anyone looked at conflicts with genvars, localparams, etc. Can the const data type be included in constant expressions? Can a const be used as a width of a type? I.E. How constant are they? Is a const an elaboration time constant? It looks like you can declare a named block with a const inside and have a "copy-in" semantics. Karen to ask Peter to explain. We believe const variables are not elaboration time constants. Are there any expressions where a const variable is allowed where a parameter is not? SV-BC8-13, SV-BC13a: Attribute syntax Karen to ask Paul to make a proposal. Postscript: in reorganizing the action item list, Karen discovered that Cliff was going to forward this one to the IEEE. SV-BC8-14, SV-BC15a: Process execution efficiency when calling C Karen to forward to sv-cc SV-BC8-15, SV-BC15b: Interleaving of execution Kevin problem is addressed by semaphores and we should leave it for sv-ec. IEEE standard allows suspension in the middle of an arbitrary expressions. Any proposal must be careful to not restrict optimization. We need to see what the enhancement is going to do before we address this. Gord moves we defer this until after all other issues have been addressed and the sv-ec committee has had an opportunity to take action on semaphores. Kevin seconds. No abstain. No opposed. Passes. SV-BC8-16, SV-BC15c: Related - Verilog 2001 Scheduling Algorithm Deferred because of similarity with SV-BC8-15. SV-BC8-17, SV-BC15d: Issues with dynamic processes SV-EC issues SV-BC8-18, SV-BC16 through SV-BC16f: Interfaces vs. Modules Peter and Stuart need to talk. Want more complex examples. Need to make complex types for ports. Karen to reorg 8-4 through 16 because they are rephrasing of the same issues. The new issue names are indicated with the items above. SV-BC17a: predefinitions of integer types not required. Same as earlier task moved to sv-cc SV-BC17b: 2 state enums and x assignments Karen to ask Peter to explain. SV-BC17c: limits on automated enumerations Within one enumeration two names are not allowed to have the same value when declared by auto-increment. It may have value in some contexts, but the standard doesn't dictate if explicit assignments allows duplication. Gord would like to allow the behavior with definitions for print and casting behavior. Duplicates could also arise from includes. Karen to ask Peter to explain. Next meeting is in two weeks, on 9/30/02.