RE: [sv-bc] Is range/signed specification part of explicit data type

From: Bresticker, Shalom <shalom.bresticker@intel.com>
Date: Thu Feb 11 2010 - 21:30:30 PST

I agree that they all seem illegal.

Shalom

> -----Original Message-----
> From: owner-sv-bc@eda.org [mailto:owner-sv-bc@eda.org] On
> Behalf Of Surya Pratik Saha
> Sent: Friday, February 12, 2010 7:19 AM
> To: sv-bc@eda.org
> Subject: [sv-bc] Is range/signed specification part of
> explicit data type
>
> Hi,
> As per the point 9 of "A.10 Footnotes (normative)":
> "In a data_declaration, it shall be illegal to omit the explicit
> data_type before a list_of_variable_decl_assignments unless the var
> keyword is used."
>
> So please consider the case:
> const [1:0] aa1 = 2'b11; // Is it legal?
> const signed aa2 = 1; // Is it legal?
> const aa3 = 1; // It is obviously illegal
>
> One standard simulator passes the first two declaration,
> though as per
> the above footnote, it seems to me all the declaration are illegal.
> Please let me know if my understanding is incorrect.
>
> --
> Regards
> Surya
---------------------------------------------------------------------
Intel Israel (74) Limited

This e-mail and any attachments may contain confidential material for
the sole use of the intended recipient(s). Any review or distribution
by others is strictly prohibited. If you are not the intended
recipient, please contact the sender and delete all copies.

-- 
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean.
Received on Thu Feb 11 21:30:49 2010

This archive was generated by hypermail 2.1.8 : Thu Feb 11 2010 - 21:30:56 PST