[sv-bc] Precedence between sequence operator and property operator

From: Surya Pratik Saha <spsaha_at_.....>
Date: Wed Nov 25 2009 - 04:21:27 PST
Hi,
Please consider the following case:
module m1(input clk,e,c);
    assert property (@(posedge clk) not c |-> e);
endmodule

Should it be parsed as ((not c) |->e) and give an error as (not c) is a 
property expression which is not allowed in LHS of |->, or should it be 
parsed as (not (c |->e))?

I have seen Table 16-3 (SV 2009 draft 7a) where precedence of property 
and sequence operators are given. So according to that it should be 
parsed as ((not c) |->e) as 'not' has higher precedence than |->. But 
some standard simulators pass the case. So I want to understand is it a 
bug in those tools or I misunderstood something.

-- 
Regards
Surya




-- 
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean.
Received on Wed Nov 25 04:23:16 2009

This archive was generated by hypermail 2.1.8 : Wed Nov 25 2009 - 04:26:41 PST