[sv-bc] Is attribute_instance propagated to next port?

From: Surya Pratik Saha <spsaha_at_.....>
Date: Mon Nov 16 2009 - 05:15:17 PST
Hi,
Please consider the following e.g:
module top((***x = 1*)input bit a, b);
endmodule

I know by LRM, the direction and data type is propagated to 'b'. But is 
attribute_instance also propagated to 'b'? Is the above exampled is 
similar to:

module top((* x = 1*)input bit a, (*x = 1*)input bit b);
endmodule

or

module top((***x = 1*)input bit a, input bit b);
endmodule

I did not see anything in the LRM regarding this. Please clarify.

-- 
Regards
Surya




-- 
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean.
Received on Mon Nov 16 05:18:07 2009

This archive was generated by hypermail 2.1.8 : Mon Nov 16 2009 - 05:18:58 PST