Re: [sv-bc] Assignment compatibility of packed arrays of enums

From: Steven Sharp <sharp_at_.....>
Date: Fri Oct 02 2009 - 13:30:07 PDT
The contents of a packed struct or array are effectively unioned with the
vector that represents the whole thing.  Unions are not type-safe, so it
should not be surprising that packed structs and arrays are not either.

Steven Sharp
sharp@cadence.com


-- 
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean.
Received on Fri Oct 2 13:31:43 2009

This archive was generated by hypermail 2.1.8 : Fri Oct 02 2009 - 13:32:35 PDT