RE: [sv-bc] Re: [sv-ac] checker: Clarification on functions & side effects

From: Bresticker, Shalom <shalom.bresticker_at_.....>
Date: Thu Oct 01 2009 - 20:20:08 PDT
But that would be because of the ++ii, not because of the $display.

Shalom
 

> Sometimes even a call to $display could be considered to have
> a side effect.
> 
> module test;
> int ii = 0;
> initial begin
>     $display("ii=%0d", ++ii);
> end
> endmodule
---------------------------------------------------------------------
Intel Israel (74) Limited

This e-mail and any attachments may contain confidential material for
the sole use of the intended recipient(s). Any review or distribution
by others is strictly prohibited. If you are not the intended
recipient, please contact the sender and delete all copies.


-- 
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean.
Received on Thu Oct 1 20:23:13 2009

This archive was generated by hypermail 2.1.8 : Thu Oct 01 2009 - 20:26:11 PDT