RE: [sv-bc] Is interface port a valid modport item

From: Brad Pierce <Brad.Pierce_at_.....>
Date: Fri Sep 04 2009 - 10:03:41 PDT
Surya,

OK, I see.  That should be illegal. I don't see the relevance of its being a port though, unless you are thinking here of modport_simple_port in the BNF. Semantically you could just as well have instantiated the interface locally and added the name of the instance to the modport, which should also be illegal.

-- Brad

________________________________________
From: Surya Pratik Saha [spsaha@cal.interrasystems.com]
Sent: Friday, September 04, 2009 9:53 AM
To: Brad Pierce
Cc: sv-bc@eda.org
Subject: Re: [sv-bc] Is interface port a valid modport item

Hi Brad,
In the original design, 'check' is actually declared as bit. So it is
not a problem. You can ignore it. My question is actually related to
'count' which is actually an interface port, neither a variable nor a
net. Is it allowed?

Regards
Surya



-------- Original Message  --------
Subject: Re:[sv-bc] Is interface port a valid modport item
From: Brad Pierce <Brad.Pierce@synopsys.com>
To: sv-bc@eda.org <sv-bc@eda.org>
Date: Friday, September 04, 2009 9:47:20 PM
> Surya,
>
> You can put the interface variable 'clk' into a modport.  This is a common way to deal with global signals like clock and reset.
>
> Are you maybe seeing a problem instead with the illegal 'output check'?
>
> -- Brad
>
>
> ________________________________
> From: owner-sv-bc@eda.org [owner-sv-bc@eda.org] On Behalf Of Surya Pratik Saha [spsaha@cal.interrasystems.com]
> Sent: Friday, September 04, 2009 3:13 AM
> To: sv-bc@eda.org
> Subject: [sv-bc] Is interface port a valid modport item
>
> Hi,
> If I use an interface port identifier as modport item, is it legal? All LRM examples use only variables or nets. But I can find the following text:
>
> If no modport is specified in the module header or in the port connection, then all the nets and variables in the interface are accessible with direction inout or ref, as in the examples above.
>
> Does the LRM text implicitly make the restriction only for variables and nets?
>
> Also inside the modport, only direction can be specified. But direction can not be specified for an interface port. So in this way also can we conclude it only variables and nets are allowed.
>
> Here is the sample case:
> interface counter_check(counter_IFC count, input bit clk );
>     modport m1(input count, input clk);
>     modport m2(input count, output check);
> endinterface
>
> For which except one standard simulator all pass the case though. Please let me know.
>
> --
> Regards
> Surya
>
>
> --
> This message has been scanned for viruses and
> dangerous content by MailScanner<http://www.mailscanner.info/>, and is
> believed to be clean.
>
>

-- 
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean.
Received on Fri Sep 4 10:04:26 2009

This archive was generated by hypermail 2.1.8 : Fri Sep 04 2009 - 10:04:41 PDT