[sv-bc] Is interface port a valid modport item

From: Surya Pratik Saha <spsaha_at_.....>
Date: Fri Sep 04 2009 - 03:13:43 PDT
Hi,
If I use an interface port identifier as modport item, is it legal? All LRM examples use only variables or nets. But I can find the following text:

If no modport is specified in the module header or in the port connection, then all the nets and variables in the interface are accessible with direction inout or ref, as in the examples above.

Does the LRM text implicitly make the restriction only for variables and nets?

Also inside the modport, only direction can be specified. But direction can not be specified for an interface port. So in this way also can we conclude it only variables and nets are allowed.

Here is the sample case:
interface counter_check(counter_IFC count, input bit clk );
    modport m1(input count, input clk);
    modport m2(input count, output check);
endinterface

For which except one standard simulator all pass the case though. Please let me know.
-- 
Regards
Surya

--
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean. Received on Fri Sep 4 03:16:27 2009

This archive was generated by hypermail 2.1.8 : Fri Sep 04 2009 - 03:17:23 PDT