Re: [sv-bc] rules for interface module port used in nested instantation as port for another module

From: <jonathan.bromley_at_.....>
Date: Mon Jul 13 2009 - 12:47:50 PDT
[Greg Jaxon]

> In my opinion, three major things are lacking in the sections 
> devoted to modports:

Thank you, thank you.  I think three might be an understatement.

Interfaces and, particularly, modports have the potential to
raise dramatically the abstraction level at which some kinds
of design can be described, but their combination of LRM muddle
and insufficient expressiveness has led to them being widely
ignored and/or misunderstood.  Their weaknesses frustrate
and irritate me more than any other thing in SV.  But the
(probably quite proper) recent emphasis on improving the
language for advanced verification has led to interfaces
getting little mindshare.

Let's fix that in the next PAR.  Please.  It would be nice
to see some movement on it before the end of my career...
-- 
Jonathan Bromley
definitely speaking for himself and no-one else


-- 
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean.
Received on Mon Jul 13 12:48:57 2009

This archive was generated by hypermail 2.1.8 : Mon Jul 13 2009 - 12:51:14 PDT