[sv-bc] Hierarchical reference of imported package item

From: Surya Pratik Saha <spsaha_at_.....>
Date: Mon Jun 29 2009 - 21:27:34 PDT
Hi,
Is it allowed to refer an item hierarchically which is actually imported 
from a package. I can't see any thing explicitly mentioned in the 2009 
draft7a LRM. But we know, an imported item inside package can't be 
imported automatically when it is itself imported to somewhere else. So 
does this restriction indirectly disallow hierarchical reference of 
imported item? Please let me know. For an example:

package pack;
integer in1;
endpackage

module top();
bot I1();
wire t2;
assign t2 = I1.in1; // is it allowed?
endmodule

module bot();
wire t1;
import pack::*;
assign t1 = in1;
endmodule

Different standard simulators behave differently.

-- 
Regards
Surya




-- 
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean.
Received on Mon Jun 29 21:28:50 2009

This archive was generated by hypermail 2.1.8 : Mon Jun 29 2009 - 21:29:43 PDT