Re: [sv-bc] Wrong example in "23.2.2.4 Default port values"

From: Surya Pratik Saha <spsaha_at_.....>
Date: Tue Jun 16 2009 - 20:53:25 PDT
Hi Stu,
The Mantis number is 2791.

Regards
Surya


-------- Original Message  --------
Subject: Re:[sv-bc] Wrong example in "23.2.2.4 Default port values"
From: Stuart Sutherland <stuart@sutherland-hdl.com>
To: 'Bresticker, Shalom' <shalom.bresticker@intel.com>, 'Surya Pratik Saha' <spsaha@cal.interrasystems.com>, sv-bc@eda.org
Date: Wednesday, June 17, 2009 3:06:57 AM

Since this is an obvious syntax error in a code example, and since examples are “informative” non-binding text in the LRM, I am OK with treating this as an editorial correction.  Please let me know the Mantis item number that is created for this, so that I can mark it when I make the editorial correction.  How is it an obvious code error like this can go undetected for so long?

 

Stu

~~~~~~~~~~~~~~

Stuart Sutherland

stuart@sutherland-hdl.com

(503) 692-0898

 

From: owner-sv-bc@eda.org [mailto:owner-sv-bc@eda.org] On Behalf Of Bresticker, Shalom
Sent: Tuesday, June 16, 2009 12:02 AM
To: Surya Pratik Saha; sv-bc@eda.org
Subject: RE: [sv-bc] Wrong example in "23.2.2.4 Default port values"

 

How embarrassing! You're quite correct on both points!

 

I would just delete both the override and the comment, as they are not essential to the example.

 

This is clearly an editorial correction, in my opinion.

 

Thanks,

Shalom

 

bus_conn #(My_DataIn = 8'hF0) bconn3 (dataout[7:0]);
// The parameter value in the bus_conn definition is overridden
// to 8'hF0

The highlighted portion is wrong both syntactically and semantically. Module bus_conn does not have any parameter, and to override a parameter, this type of syntax is not allowed.

Please correct the example. I am filing a Mantis against that. Hope in the original version of LRM, all those incorrect examples will be corrected.

---------------------------------------------------------------------
Intel Israel (74) Limited
 
This e-mail and any attachments may contain confidential material for
the sole use of the intended recipient(s). Any review or distribution
by others is strictly prohibited. If you are not the intended
recipient, please contact the sender and delete all copies.


--
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean.



--
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean. Received on Tue Jun 16 20:54:59 2009

This archive was generated by hypermail 2.1.8 : Tue Jun 16 2009 - 20:55:14 PDT