[sv-bc] Access of covergroup items across covergroups

From: Rashmi Saha <rsaha_at_.....>
Date: Mon Jun 15 2009 - 00:19:41 PDT
Hi,

I have a query regarding visibility of covergroup items across different 
covergroups.
Is one covergroup item accessible from a different covergroup?
Are there any specific restrictions for any specific member of a covergroup?

E.g.
covergroup gc(int maxA, int maxB) @(posedge clk);
    a : coverpoint a_var { option.auto_bin_max = maxA; }
    b : coverpoint b_var { option.auto_bin_max = maxB; }
endgroup

gc g1 = new (10,20);

covergroup gc2(int maxA, int maxB) @(posedge clk);
    c : coverpoint a_var {
        g1.a.option.auto_bin_max = 6;  // allowed?
    }
    g1.option.per_instance = 1;        // allowed?
    gc::option.detect_overlap = 1;    // allowed?
endgroup

LRM does not clearly state the access rules of covergroup items via 
another covergroup.
Most of the simulators do not allow this kind of access.

Please let me know your comments on this.

-- 
Thanks & Regards,
Rashmi Saha




-- 
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean.
Received on Mon Jun 15 00:27:11 2009

This archive was generated by hypermail 2.1.8 : Mon Jun 15 2009 - 00:28:40 PDT