RE: [sv-ac] RE: [sv-bc] Query regarding $global_clock

From: Korchemny, Dmitry <dmitry.korchemny_at_.....>
Date: Mon May 04 2009 - 06:05:32 PDT
Hi Surya,

No, I don't remember that there is a related Mantis item, you are welcome to submit a new one.

Dmitry

-----Original Message-----
From: Surya Pratik Saha [mailto:spsaha@cal.interrasystems.com]
Sent: Monday, May 04, 2009 4:04 PM
To: Korchemny, Dmitry
Cc: Ishita Ghosh; sv-bc@server.eda.org; sv-ac@eda.org
Subject: Re: [sv-ac] RE: [sv-bc] Query regarding $global_clock

Hi Dmitry,
I think then there should be a mantis to correct the LRM. Is there
anything already do you know, or I will file a new one.

Regards
Surya



-------- Original Message  --------
Subject: [sv-ac] RE: [sv-bc] Query regarding $global_clock
From: Korchemny, Dmitry <dmitry.korchemny@intel.com>
To: Ishita Ghosh <ighosh@cal.interrasystems.com>, sv-bc@server.eda.org
<sv-bc@eda.org>
Cc: "sv-ac@eda.org" <sv-ac@eda.org>
Date: Monday, May 04, 2009 6:23:55 PM
> Unfortunately, it is indeed missing. Note, however, that this is not a conventional system function since it returns an event.
>
> Regards,
> Dmitry
>
> -----Original Message-----
> From: owner-sv-bc@server.eda.org [mailto:owner-sv-bc@server.eda.org] On Behalf Of Ishita Ghosh
> Sent: Monday, May 04, 2009 3:42 PM
> To: sv-bc@server.eda.org
> Subject: [sv-bc] Query regarding $global_clock
>
> Hi,
>       In SV2009 draft LRM sec 14.14, a system function "$global_clock"
> is introduced but it is not mentioned in sec 20.1 where the System Verilog
> utility system tasks and system functions  are enlisted.
> Am I missing something?
>
> Thanks and Regards,
> Ishita.
>
>
>
> --
> This message has been scanned for viruses and
> dangerous content by MailScanner, and is
> believed to be clean.
>
> ---------------------------------------------------------------------
> Intel Israel (74) Limited
>
> This e-mail and any attachments may contain confidential material for
> the sole use of the intended recipient(s). Any review or distribution
> by others is strictly prohibited. If you are not the intended
> recipient, please contact the sender and delete all copies.
>
>
>



---------------------------------------------------------------------
Intel Israel (74) Limited

This e-mail and any attachments may contain confidential material for
the sole use of the intended recipient(s). Any review or distribution
by others is strictly prohibited. If you are not the intended
recipient, please contact the sender and delete all copies.


-- 
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean.
Received on Mon May 4 06:08:16 2009

This archive was generated by hypermail 2.1.8 : Mon May 04 2009 - 06:08:41 PDT